JP2010515821A - 堆積システム及び方法 - Google Patents

堆積システム及び方法 Download PDF

Info

Publication number
JP2010515821A
JP2010515821A JP2009544851A JP2009544851A JP2010515821A JP 2010515821 A JP2010515821 A JP 2010515821A JP 2009544851 A JP2009544851 A JP 2009544851A JP 2009544851 A JP2009544851 A JP 2009544851A JP 2010515821 A JP2010515821 A JP 2010515821A
Authority
JP
Japan
Prior art keywords
substrate
deposition system
gas
deposition
head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009544851A
Other languages
English (en)
Other versions
JP2010515821A5 (ja
Inventor
ハワード レビー,デイビッド
Original Assignee
イーストマン コダック カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=39272914&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2010515821(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by イーストマン コダック カンパニー filed Critical イーストマン コダック カンパニー
Publication of JP2010515821A publication Critical patent/JP2010515821A/ja
Publication of JP2010515821A5 publication Critical patent/JP2010515821A5/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02557Sulfides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

薄膜材料を基体上に堆積させる方法であって、薄膜堆積システムの供給ヘッドの出力面から基体表面に向けて一連のガス流を同時に案内することを含み、一連のガス流が、少なくとも、第1反応性ガス状材料と、不活性パージガスと、第2反応性ガス状材料とを含み、第1反応性ガス状材料が、第2反応性ガス状材料で処理された基体表面と反応することができ、ガス流のうちの1つまたは2つ以上が、供給ヘッドの面からの基体の表面の分離に少なくとも寄与する圧力を提供する方法を開示する。かかる方法を実施することのできるシステムも開示する。

Description

本発明は、概して、薄膜材料の堆積に関し、より詳しくは、同時に生じるガス流を基体上に案内する分配ヘッドを使用して、基体上に原子層を堆積させるための装置に関する。
薄膜堆積のために広く用いられている技術の中には、基体上に所望の膜を堆積させるために、反応チャンバ内で反応する化学的に反応性の分子を使用する化学蒸着法(CVD)がある。CVD用途に有用な分子状前駆体は、堆積させるべき膜の元素(原子)成分を含み、典型的には、付加的な元素も含む。CVD前駆体は、基体において反応して当該基体上に薄膜を形成させるために、チャンバに気相で供給される揮発性分子である。化学反応によって、所望の膜厚を有する薄膜が堆積する。
ほとんどのCVD技術に共通することは、CVD反応器内に1種又は2種以上の分子状前駆体のよく制御されたフラックスを適用する必要があることである。制御された圧力条件下でよく制御された温度に基体を保つことにより、副生成物の効率的な除去を伴って、これらの分子状前駆体間の化学反応が促進される。最適なCVD性能を得るには、プロセス全体を通してガス流、温度及び圧力の定常状態条件を達成及び維持する能力と、過渡的現象を最低限に抑えるか又は無くす能力を必要とする。
特に半導体、集積回路及び他の電子デバイスの分野では、従来のCVD技術の達成し得る限度を超えた、特に高品質の薄膜、優れたコンフォーマルコーティング特性を有する高密度膜、特に低温で製造することができる薄膜が要望されている。
原子層堆積法(ALD)は、その先行技術であるCVDと比較して、厚さ分解能及びコンフォーマル能力を改善することができる代替的膜堆積法である。ALD法は、従来のCVDの従来の薄膜堆積プロセスを、単原子層の堆積工程に分割する。都合良いことに、ALD工程は自動的に終結するので、自動的に終結する曝露時間まで、又は自動的に終結する曝露時間を超えて実施すると、一原子層を堆積させることができる。原子層は典型的には、0.1〜0.5分子単層であり、典型的な寸法は数オングストローム以下のオーダーにある。ALDにおいて、原子層の堆積は、反応性分子状前駆体と基体との間の化学反応の結果である。それぞれ別個のALD反応堆積工程において、正味の反応によって所期の原子層が堆積し、そして分子状前駆体中に元々含まれていた「余分」の原子は実質的に排除される。その最も純粋な形態では、ALDは、その他の反応前駆体の不存在下での、前駆体のそれぞれの吸着及び反応に関与する。実際には、いかなるシステムにおいても、少量の化学蒸着反応をもたらす種々異なる前駆体の若干の直接反応を回避することは難しい。ALDを実施すると主張するいかなるシステムにおいてもその目標は、少量のCVD反応を許容できることを認めながらもALDシステムに見合う装置の性能及び特質を得ることである。
ALD用途において、典型的には2種の分子状前駆体が、別個の段階においてALD反応器内に導入される。例えば、金属前駆体分子MLxは、原子又は分子リガンドLに結合された金属元素Mを含む。例えばMは、Al,W,Ta,Si,Znなどであることができるが、これらに限定されない。基体表面が分子状前駆体と直接反応するように調製された場合、金属前駆体は基体と反応する。例えば、基体表面は、典型的には、金属前駆体に対して反応性の水素含有リガンドAHなどを含むように調製される。硫黄(S)、酸素(O)及び窒素(N)がいくつかの典型的なA種である。ガス状金属前駆体分子は、気体表面上のリガンドの全てと効率的に反応し、その結果、金属の単原子層が堆積する:
ここで、HLは反応副生成物である。反応中、初期表面リガンドAHは消費され、そして表面はLリガンドで覆われるようになる。これらのLリガンドは金属前駆体MLxとさらに反応することはできない。従って、表面上の初期AHリガンドの全てがAMLx-1種で置換されると、反応は自動的に終結する。この反応段階の次に、典型的には、不活性ガスパージ段階が続く。この不活性ガスパージ段階は、第2反応性ガス前駆体材料を別個に導入する前に、チャンバから余分の金属前駆体を排除する段階である。
次に、金属前駆体に対する基体の表面反応性を回復させるために第2分子状前駆体を使用する。これは、例えばLリガンドを除去し、そしてAHリガンドを再堆積させることにより行われる。この場合、第2の前駆体は、典型的には、望ましい(通常は非金属の)元素A(すなわちO,N,S)及び水素を含む(すなわちH2O,NH3,H2S)。次の反応は下記の通りである:
これにより、表面は、AHで覆われた状態に戻るように変換される(ここでは、便宜上、化学反応は平衡していない)。望ましい付加的な元素Aは膜中に取り込まれ、望ましくないリガンドLは揮発性副生成物として除去される。ここでもやはり、反応によって、反応性部位(この場合L末端部位)が消費され、そして基体上の反応性部位が完全に消耗したら自動的に終結する。第2分子状前駆体は、次いで、不活性パージガスを第2パージ段階で流すことにより堆積チャンバから除去される。
ここで要約すると、基本的なALD法は、基体に対する化学物質のフラックスを順番に交互にすることを必要とする。上述のような代表的なALD法は、4つの異なる作業段階を有するサイクルである:
1.MLx反応;
2.MLxパージ;
3.AHy反応;及び
4.AHyパージ、次いで段階1へ戻る。
表面反応と、パージ作業を介在させて基体表面をその初期反応状態に回復させる前駆体除去とを交互に行うこのようなシーケンスの繰り返しは、典型的なALD堆積サイクルである。ALD作業の重要な特徴は、基体をその初期の表面化学反応状態に回復させることである。この反復工程セットを用いて、化学キネティクス、1サイクル当たりの堆積、組成、及び厚さがすべて同様である等しい量の層として膜を基体上に層形成することができる。
ALDは、半導体デバイス及び支持用電子素子(例えば抵抗器及びキャパシタ)、絶縁体、バスライン及び他の導電性構造体を含む多くのタイプの薄膜電子デバイスを形成するための一製造工程として用いることができる。ALDは、電子デバイスの構成要素中の金属酸化物薄層を形成するのに特に適する。ALDで堆積させることができる一般的な部類の機能性材料としては、導体、誘電体又は絶縁体、及び半導体が挙げられる。
導体は、有用な導電性材料であればいかなるものであってもよい。例えば、導体は、透明材料、例えば酸化インジウム−錫(ITO)、ドープト酸化亜鉛ZnO、SnO2又はIn23を含んでいてよい。導体の厚さは様々であってよく、特定の例によれば、50〜1000nmであることができる。
有用な半導体材料の例は、化合物半導体、例えばヒ化ガリウム、窒化ガリウム、硫化カドミウム、真性酸化亜鉛及び硫化亜鉛である。
誘電性材料は、パターン化回路の種々の部分を電気的に絶縁する。誘電層は、絶縁体又は絶縁層と呼ぶことができる。誘電性材料として有用な材料の具体例としては、ストロンチウム酸塩、タンタル酸塩、チタン酸塩、ジルコン酸塩、酸化アルミニウム、酸化ケイ素、酸化タンタル、酸化ハフニウム、酸化チタン、セレン化亜鉛及び硫化亜鉛が挙げられる。さらに、これらの例の合金、組み合わせ、及び多層を誘電体として使用することもできる。これらの材料のうち、酸化アルミニウムが好ましい。
誘電性構造層は、異なる誘電定数を有する2つ又は3つ以上の層を含んでよい。このような絶縁体は、米国特許第5,981,970号明細書及び同時係属中の米国特許出願第11/088,645号明細書において論じられている。誘電性材料は典型的には5eVを上回るバンドギャップを示す。有用な誘電層の厚さは様々であってよく、特定の例によれば10〜300nmであってよい。
様々なデバイス構造体を、上記機能層を有するように形成することができる。中ないし低導電性の導電性材料を選択することによって、抵抗器を製作することができる。2つの導体の間に誘電体を配置することにより、キャパシタを形成することができる。2つの導電性電極の間に相補キャリヤタイプの2つの半導体を配置することにより、ダイオードを形成することができる。相補的キャリヤタイプの半導体の間に、真性である半導体領域(その領域の自由電荷キャリヤ数が少ないことを示す)を配置されてもよい。2つの導体の間に単一の半導体を配置することにより、ダイオードを構成することもできる。この場合、導体/半導体界面のうちの1つが、一方向で電流を強く妨害するショットキー障壁を生成する。導体(ゲート)上に絶縁層を、続いて半導体層を配置することによりトランジスタを形成することができる。2つ又は3つ以上の付加的な導体電極(ソース及びドレイン)を上側の半導体層と接触した状態で所定の間隔を置いて配置すると、トランジスタを形成することができる。必要な界面が形成されるならば、上記装置のいずれも種々の形態で形成することができる。
薄膜トランジスタの典型的な用途において、デバイスを流れる電流を制御することができるスイッチが必要である。このようなものとして、スイッチはオンにされると、高い電流がデバイスを貫流できることが望ましい。電流フローの程度は、半導体電荷キャリヤ移動度に関連する。デバイスがオフにされているときには、電流フローは極めて小さいことが望ましい。これは、電荷キャリヤ濃度に関連する。さらに、可視光が薄膜トランジスタ応答に及ぼす影響はほとんど又は全くないことが一般的に好ましい。これを真に実現するためには、半導体バンドギャップは、可視光に対する曝露がバンド間遷移を引き起こさないように十分に大きく(>3eV)なければならない。高い移動度、低いキャリヤ濃度及び高いバンドギャップをもたらすことができる材料はZnOである。さらに、移動ウェブ上に大量生産するためには、プロセスに使用される化学物質が低廉かつ低毒性であることが非常に望ましい。このような条件は、ZnO及びその前駆体の大部分を使用することにより満たされる。
自己飽和型表面反応は、技術的な許容差及び流動システムの限界又は表面トポグラフィ(すなわち三次元高アスペクト比構造内への堆積)に関連する限界に起因する、さもなければ表面均一性を損なうおそれのある搬送不均一性に対して、ALDを比較的低感受性にする。通常は、反応プロセスにおける化学物質のフラックスが不均一であると、一般的に、表面領域の異なる部分で、完成時間は異なってしまう。しかし、ALDを用いた場合、反応のそれぞれを、基体表面全体で完了させることが可能である。従って、完了速度(completion kinetics)の相違は、均一性に対して何の不利益も与えない。その理由は、反応を最初に完了する領域は当該反応を自動的に終結し、他の領域は、完全に処理された表面が意図する反応を受けるまで反応を持続できるからである。
典型的には、ALD法では、単一のALDサイクル(1サイクルは前に挙げた1〜4の番号の工程を有する)において0.1〜0.2nmの膜が堆積する。多くの又はほとんどの半導体用途において、3nm〜30nmの均一な膜厚を、そして他の用途ではさらに厚い膜を提供するために、有用なそして経済的に見合うサイクル時間が達成されるべきである。産業上のスループット標準によれば、基体は好ましくは2分〜3分以内で処理され、これは、ALDサイクル時間が0.6秒〜6秒の範囲にあるべきであることを意味する。
ALDは、高度に均一な薄膜堆積の制御されたレベルを提供するものとしてかなり期待されている。しかし、その固有の技術的能力及び利点にもかかわらず、多くの技術的なハードルがまだ残っている。1つの重要な考察事項は、必要となるサイクルの数に関連する。その繰り返される反応物質およびパージサイクルのため、ALDを有効に利用するためには、MLxからAHyへ化学物質フラックスを急に変化させることができ、これとともにパージサイクルを迅速に実施できる装置が必要となる。従来のALDシステムは、種々異なるガス状物質を基体上に、必要とされる順序で迅速にサイクル状に提供するように構成されている。しかし、必要な一連のガス状配合物をチャンバ内に、所要の速度で、そして望ましくない混合なしに導入するための信頼性の高いスキームを得ることは難しい。さらに、ALD装置は、多くの基体のコスト効率が高い被覆を可能にするために、多くのサイクルに対して効率的に且つ信頼性高くこの迅速なシーケンシングを実行できなければならない。
任意の所与の反応温度で、ALD反応が自動的に終結に達するために必要とする時間を短縮するために、いわゆる「パルス化」システムを使用して、ALD反応器内への化学物質のフラックスを最大化する1つの取り組みがなされた。ALD反応器内への化学物質のフラックスを最大化するために、分子状前駆体を最低希釈の不活性ガスとともに高い圧力でALD反応器内に導入することが有利である。しかし、これらの手段は、短いサイクル時間と、ALD反応器からのこれらの分子状前駆体の迅速な除去を達成するという必要性に対して不都合に働く。迅速な除去は、ALD反応器内のガス滞留時間が最低限に抑えられることを決定づける。ガス滞留時間τは、反応器の容積V、ALD反応器内の圧力P、及び流量Qの逆数に比例する。すなわち:
典型的なALDチャンバの場合、容積(V)及び圧力(P)は、機械的及びポンピングの制約によって独立に決まる。そのため、滞留時間を低い値に正確に制御することが困難である。従って、ALD反応器内の圧力(P)を低くすると、短いガス滞留時間が容易になり、また、ALD反応器からの化学的前駆体の除去(パージ)の速度も高くなる。対照的に、ALD反応時間を最低限にすると、ALD反応器内部で高い圧力を使用することにより、ALD反応器内への化学的前駆体フラックスを最大化することが必要となる。さらに、ガス滞留時間及び化学物質利用効率の両方は流量に反比例する。従って、流量を低下させると効率を高めることができるが、ガス滞留時間も長くなってしまう。
既存のALDアプローチは、化学物質利用効率を改善するとともに反応時間を短くする必要性と、他方では、パージガス滞留時間及び化学物質除去時間とを最低限にする必要性との間のトレードオフを伴う妥協の産物である。「パルス化された」ガス状材料供給の固有の限界を克服するための1つのアプローチは、各反応性ガスを連続的に提供すること、そして各ガスを通して基体を連続して動かすことである。例えば、「GAS DISTRIBUTION SYSTEM FOR CYCLICAL LAYER DEPOSITION」と題される米国特許第6,821,563号明細書(Yudovsky)には、前駆体及びパージガスのための別個のガスポートと、各ガスポート間の真空ポンプポートとを交互に有する、真空下の処理チャンバが記載されている。各ガスポートは、そのガス流を鉛直方向で下向きに基体に向ける。別個のガス流は壁又は仕切りによって分離され、各ガス流の両側には排気のための真空ポンプが設けられている。各仕切りの下側部分は、基体に近接して、例えば基体表面から0.5mm以上のところに延びている。このように、これらの仕切りの下側部分は、ガス流が基体表面と反応した後、ガス流が下側部分の周りで真空ポートに向かって流れるのを可能にするのに十分な距離だけ基体表面から離されている。
回転ターンテーブル又はその他の搬送装置が、1つ又は2つ以上の基体ウェハを保持するために設けられている。この配置によると、基体を異なるガス流の下で往復させ、それによりALD堆積を生じさせる。一実施態様において、基体は、チャンバを通して線状通路内で動かされ、この通路内で基体は多数回にわたって前後へパスされる。
連続ガス流を使用した別のアプローチが、「METHOD FOR PERFORMING GROWTH OF COMPOUND THIN FILMS」(Suntolaら)と題された米国特許第4,413,022号明細書に示されている。ガス流アレイには、ソースガス開口部、キャリヤガス開口部及び真空排気開口部が交互に設けられている。アレイ上の支持体の往復運動が、この場合にもパルス化された動作を必要とせずに、ALD堆積を生じさせる。具体的には図13及び図14の実施態様において、基体表面と反応性蒸気との順次の相互作用が、ソースガス開口部の固定アレイ上の基体の往復運動によって行われる。排気開口部間にキャリヤガス開口部を有することにより、拡散バリアが形成されている。このような実施態様を伴う動作は大気圧においてさえも可能であるとSuntolaらは述べているが、プロセスの詳細又は例はほとんど又は全く提供されていない。
上記第563号(Yudovsky)及び上記第022号(Suntolaら)の開示物に記載されたもののようなシステムは、パルス化ガスのアプローチに固有の難点のうちのいくつかを回避することはできるが、これらのシステムは他の欠点を有する。上記第563号(Yudovsky)の開示物のガス流供給ユニットも、上記第022号のSuntola他の開示物のガス流アレイも、基体に0.5mmよりも近接して使用することはできない。上記第563号(Yudovsky)及び上記第022号(Suntolaら)の特許明細書に開示されたガス流供給装置のいずれも、移動ウェブ表面、例えば電子回路、光センサ、又はディスプレイを形成するための可撓性基体として使用することもできる表面との潜在的な使用のためには配列されていない。それぞれがガス流及び真空の両方を提供する、上記第563号(Yudovsky)開示物のガス流供給ユニット及び第022号(Suntolaら)のガス流アレイの複雑な装置は、これらの解決手段を実行困難にし、大量生産するにはコスト高なものにし、また限られた寸法の移動基体上への堆積用途にその潜在的利用可能性を制限する。さらに、アレイ内の異なる地点で均一な真空を維持すること、そして同期的なガス流及び真空を相補的な圧力で維持することは極めて難しく、ひいては基体表面に提供されるガスフラックスの均一性に関して妥協することになる。
米国特許出願公開第2005/084610号明細書(Selitser)には、大気圧原子層化学蒸着法が開示されている。動作圧力を大気圧に変化させることにより、反応速度が著しく高くなり、このことは、反応物質の濃度を桁違いに増大させ、その結果として表面反応速度を高めることを、Selitserらは述べている。Selitserらの実施態様は、方法のそれぞれの段階毎に別個のチャンバを伴うが、米国特許出願公開第2005/084610号明細書の図10には、チャンバの壁が取り除かれている実施態様が示されている。一連の分離されたインジェクタが、回転する円形基体ホルダ軌道の周りに間隔を置いて設けられている。各インジェクタは、独立して操作される反応物質マニホルド、パージマニホルド、及び排気マニホルドを内蔵しており、そしてそれぞれの基体毎に、この基体がプロセス中にインジェクタの下を通るのに伴って、1つの完結した単分子層堆積・反応物質パージサイクルとして制御し作用する。ガスインジェクタ又はマニホルドの詳細はほとんど又は全くSelitserらによって記載されてはいないが、隣接するインジェクタからの交差汚染がパージガスによって防止され、排気マニホルドが各インジェクタ内に内蔵されるように、インジェクタの間隔が選択されると述べられている。
特に注目されてきたALD処理の1つの特徴はシリコンウェハ基体の温度制御に関する。材料堆積中の正確な温度制御のために提案された解決法の中には、Grannemanによる米国特許出願公開第2004/0142558号明細書に記載されているものがある。Grannemanの第2558号の開示では、ウェハの上および下に配置されたプラテンがガス源および加熱要素として機能する。Grannemanらによる「METHOD AND APPARATUS FOR SUPPORTING A SEMICONDUCTOR WAFER DURING PROCESSING」と題された米国特許第6,183,565号明細書に記載されているパルス化堆積態様では、半導体ウェハ基体は、堆積中に加熱されたガスにより支持され、それによりこのプロセス中に、放射熱伝達というよりもむしろ伝導加熱(conductive heating)を用いて温度制御を提供する。同様に、CVD用途に関して、杉本による「ウェハ位置決め用テーブル」と題された特開昭62−021237号公報、橋本らによる「半導体気相成長装置」と題された特開平04−078130号公報、および時末らによる「気相浮上エピタキシャル成長装置」と題された特開昭61−294812号公報には、堆積処理中のガスジェットの流れによる半導体ウェハの「浮上」が記載されている。従って、化学堆積中の半導体ウェハの加熱及び搬送を、ガスジェットを使用して行うことが出来ることは認識されている。半導体製造に使用される少なくとも1つの商品、ASM International N.V.(オランダ国ビルトホーヘン)製のLEVITOR RTP(迅速熱処理)リアクターは、その熱移動及びウェハの取り扱い上の利点のために、この「ガス流体支持」法を用いる。しかしながら、このおよび類似の装置は、堆積中にガスを互いに分ける空間的分離を提供するものではなく、この背景技術の欄で先に記載したパルス化供給モデルに基づくものである。
米国特許第5,981,970号明細書 米国特許第6,821,563号明細書 米国特許第4,413,022号明細書 米国特許出願公開第2005/084610号明細書 米国特許出願公開第2004/0142558号明細書 米国特許第6,183,565号明細書 特開昭62−021237号公報 特開平04−078130号公報 特開昭61−294812号公報
空気支持の原理、あるいはより一般的には、ガス流体支持原理の使用は、蒸着中のウェハ搬送及びALDプロセスの改善について多くの利点をもたらすことができることが分かる。しかしながら、既存の解決法は、かなり複雑な機械的およびガス経路のシステム及び構成要素を必要とするパルス化堆積システムに対するものである。かかるシステムにおけるウェハの空気支持浮揚は、ウェハの片側に位置してウェハを浮揚させるための不活性ガスを連続的に供給するベースブロックと、ウェハの反対側に位置して有効な材料の堆積に必要な反応物質およびパージガスの迅速な順番するサイクルの繰り返しを提供するための堆積ブロックを有するチャンバを設けることを必要とする。従って、連続法で使用することができ、先の解決法に勝るガス移動度およびガス流分離の改善をもたらすことのできるALD堆積法及び装置が必要とされていることが分かるであろう。
本発明は、薄膜堆積システムの供給ヘッドの出力面から基体表面に向けて一連のガス流を同時に案内することを含む薄膜材料を基体上に堆積させるための装置及び方法であって、一連のガス流が、少なくとも、第1反応性ガス状材料と、不活性パージガスと、第2反応性ガス状材料とを含む方法を提供する。第1反応性ガス状材料は、第2反応性ガス状材料で処理された基体表面と反応することができる。ガス流の1つまたは2つ以上が、基体の表面を供給ヘッドの面から分離することに少なくとも寄与する圧力を提供する。
本発明の別の側面は、基体上に固体材料を薄膜堆積させるための堆積システムであって、
a)複数のガス状材料にそれぞれ対応する複数の供給源であって、第1、第2及び第3のガス状材料にそれぞれ対応する第1、第2及び第3供給源を少なくとも含む複数の供給源と;
b)薄膜堆積を受ける基体にガス状材料を供給するための供給ヘッドであって、
(i)第1、第2及び第3ガス状材料をそれぞれ受容するための少なくとも第1、第2及び第3流入ポートを含む複数の流入ポートと;
(b)複数の出力開口部を含み、かつ、基体の表面から所定の距離をおいて対向する出力面;
を含む供給ヘッド、ここで、第1、第2及び第3のガス状材料は前記出力面の出力開口部から同時に排出される;
c)基体を支持するために任意選択的に用いてもよい基体支持体と;
を含み、
d)薄膜堆積中に供給ヘッドの出力面と基体表面との間で実質的に均一な距離を維持することを含み、薄膜堆積のために基体表面への供給ヘッドからのガス状材料の1つまたは2つ以上の流れにより発生した圧力が、基体の表面から供給ヘッドの出力面を分離する力の少なくとも一部を提供する、基体上に固体材料を薄膜堆積させるための堆積システムを提供する。
一実施態様において、このシステムは、分配ヘッドと基体との間の相対的な往復運動を提供する。好ましい一実施態様において、このシステムは、薄膜堆積にかけられる基体の連続運動により動作し、このシステムは、支持体を、分配ヘッドを通過するウェブ上で又はウェブとして搬送することができ、好ましくは実質的に大気圧下で周囲に対して密閉されていない環境中で分配ヘッドを通過するウェブ上で又はウェブとして搬送することができる。
本発明の利点は、多くの異なる基体及び堆積環境に適した、基体上へ原子層を堆積させるコンパクトな装置を提供できることである。
本発明の更なる利点は、好ましい実施態様において大気圧条件下での操作を可能にすることである。
本発明の更なる利点は、大面積基体上への堆積を含む、ウェブ又はその他の移動基体上の堆積に対して適合可能であることである。
本発明の更なる利点は、大気圧における低温プロセスにおいて採用することができ、このプロセスを周囲大気に対して開いた、密閉されていない環境内で実施できることである。本発明の方法は、単一の変数であるガス流量によって制御されるシステム圧力及び容積を用いて、等式(3)において前に示した関係でガス滞留時間τを制御するのを可能にし、滞留時間τを短くするのを可能にする。
本発明のこれらの及びその他の目的、特徴、及び利点は、本発明の一例としての実施態様を示し記述した図面と併せて、下記詳細な説明を読めば、当業者は理解するであろう。
本明細書は、本発明の手段を具体的に指摘し明確に主張する特許請求の範囲で締めくくられるが、添付の図面と併せて下記説明から本発明をより良く理解することができる。
図1は、本発明による原子層堆積のための供給ヘッドの一実施態様を示す断面側方図である。 図2は、薄膜堆積にかけられた基体に提供されるガス状材料の1つの例示的配列を示す供給ヘッドの一実施態様を表す断面側方図である。 図3Aは、付随する堆積動作を概略的に示す、供給ヘッドの一実施態様を示す断面側方図である。 図3Bは、付随する堆積動作を概略的に示す、供給ヘッドの一実施態様を示す断面側方図である。 図4は、一実施態様によるディフューザユニットを含む堆積システム内の供給ヘッドを示す斜視分解図である。 図5Aは、図4の供給ヘッドのための結合プレートを示す斜視図である。 図5Bは、図4の供給ヘッドのためのガスチャンバプレートを示す平面図である。 図5Cは、図4の供給ヘッドのためのガス案内プレートを示す平面図である。 図5Dは、図4の供給ヘッドのためのベースプレートを示す平面図である。 図6は、一実施態様における供給ヘッド上のベースプレートを示す斜視図である。 図7は、一実施態様によるガスディフューザユニットを示す分解図である。 図8Aは、図7のガスディフューザユニットのノズルプレートを示す平面図である。 図8Bは、図7のガスディフューザユニットのガスディフューザプレートを示す平面図である。 図8Cは、図7のガスディフューザユニットのフェイスプレートを示す平面図である。 図8Dは、図7のガスディフューザユニット内部のガス混合物を示す斜視図である。 図8Eは、図7のガスディフューザユニットを使用した通気路を示す斜視図である。 図9Aは、鉛直方向に積み重ねられたプレートを使用した実施態様における供給ヘッドの一部を示す斜視図である。 図9Bは、図9Aに示された供給ヘッドの構成要素を示す分解図である。 図9Cは、積み重ねられたプレートを使用して形成された供給集成体を示す平面図である。 図10Aは、図9Aの鉛直方向プレートの実施態様において使用されるセパレータプレートを示す平面図である。 図10Bは、図9Aの鉛直方向プレートの実施態様において使用されるセパレータプレートを示す斜視図である。 図11Aは、図9Aの鉛直方向プレートの実施態様において使用されるパージプレートを示す平面図である。 図11Bは、図9Aの鉛直方向プレートの実施態様において使用されるパージプレートを示す斜視図である。 図12Aは、図9Aの鉛直方向プレートの実施態様において使用される排気プレートを示す平面図である。 図12Bは、図9Aの鉛直方向プレートの実施態様において使用される排気プレートを示す斜視図である。 図13Aは、図9Aの鉛直方向プレートの実施態様において使用される反応物質プレートを示す平面図である。 図13Bは、図9Aの鉛直方向プレートの実施態様において使用される反応物質プレートを示す斜視図である。 図13Cは、別の配向を成す反応物質プレートを示す斜視図である。 図14は、関連する距離寸法及び力方向とともに示す供給ヘッドの側面図である。 図15は、基体搬送システムとともに使用される分配ヘッドを示す斜視図である。 図16は、本発明の供給ヘッドを使用した堆積システムを示す斜視図である。 図17は、移動ウェブに適用された堆積システムの一実施態様を示す斜視図である。 図18は、移動ウェブに適用された堆積システムの別の実施態様を示す斜視図である。 図19は、曲率を有する出力面を備えた供給ヘッドの一実施態様を示す断面側方図である。 図20は、基体から供給ヘッドを分離するためにガスクッションを使用した実施態様を示す斜視図である。 図21は、移動基体と一緒に使用するためのガス流体支持を含む堆積システムのための実施態様を示す側面図である。
この記載内容は、特に本発明による装置の部分、装置とより直接的に協働する部分を形成する要素に関する。具体的に示されていない又は記載されていない要素が、当業者によく知られた種々の形態を成し得ることは言うまでもない。
下記説明に関して「ガス」又は「ガス状材料」という用語は、広い意味で、所定の範囲の蒸発した又はガス状の元素、化合物、又は材料のいずれかを含むように使用される。本明細書中に使用されるその他の用語、例えば、反応物質、前駆体、真空及び不活性ガスは、材料堆積技術における当業者によってよく理解される従来通りの意味を有する。提供される図面は、原寸に比例して描かれてはいないが、本発明のいくつかの実施態様の機能全体及び構造的配列を示すように意図されている。
以下の記載について、「積み重ね」とは、1つの要素の部分が別の要素の対応部分と整合するように、そしてこれらの周囲が概ね一致するように、要素を互いに上下に配置されているか又は互いに重なり合っているという、その従来通りの意味を有する。
「上流」及び「下流」という用語は、ガス流の方向に関連する従来通りの意味を持つ。
本発明の装置は、従来のアプローチからの有意義な脱却をALDに提供し、大面積の、ウェブをベースとするか又はウェブで支持された基体上への堆積に適合することができ、また改善されたスループット速度で高度に均一な薄膜堆積を達成することができる、基体表面にガス状材料を供給するための改善された分配装置を採用する。本発明の装置及び方法は、(パルス化とは反対に)連続したガス状材料分配を採用する。本発明の装置は、大気圧又は近大気圧並びに真空下での動作を可能にし、また、密閉されていない又はオープンエア環境内で動作することができる。
図1を参照すると、本発明に係る、基体20上への原子層堆積のための供給ヘッド10の一実施態様の断面側方図が示されている。供給ヘッド10は、第1ガス状材料を受容するための流入ポートとして機能するガス流入導管14と、第2ガス状材料を受容する流入ポートのためのガス流入導管16と、第3ガス状材料を受容する流入ポートのためのガス流入導管18とを有する。これらのガスは、続いて説明するように、ディフューザを含んでいてもよい構造的配列を有する出力チャネル12を介して、出力面36で放出される。図1及び後続の図2〜3Bにおいて破線の矢印は、供給ヘッド10から基体20へのガスの供給を意味する。図1において、点線の矢印Xは、排気経路(この図面では上方に向いて示されている)、及び排気ポートを形成する排気導管24と連通する排気チャネル22を示す。説明の便宜上、排気は図2〜3Bには示されていない。排ガスは未反応の前駆体をまだ含有しているかもしれないので、主として1つの反応性種を含有する排気流を、主として別の種を含有する排気流と混合させることが望ましくない場合がある。このようなものとして、供給ヘッド10がいくつかの独立した排気ポートを含んでいてよいことは明らかである。
一実施態様において、ガス流入導管14及び16は、ALD堆積を生じさせるために基体表面上で順次反応する第1及び第2ガスを受容するように構成されており、ガス流入導管18が、第1及び第2ガスに対して不活性のパージガスを受容する。供給ヘッド10は、基体20から距離Dを置いて配置されている。基体20は、後でより詳細に説明するように、基体支持体上に設けられていてよい。基体20と供給ヘッド10との間には、基体20の運動によって、又は供給ヘッド10の運動によって、又は基体20及び供給ヘッド10の両方の運動によって、往復運動を提供することができる。図1に示された特定の実施態様において、矢印A、及び図1の基体20の左右の仮想線によって示すように、出力面36を往復的に横切る基体支持体96によって、基体20が移動する。なお、往復運動は、供給ヘッド10を使用する薄膜堆積にいつも必要とされるわけではない。基体20と供給ヘッド10との間の他のタイプの相対運動、例えば後でより詳細に説明するような、基体20又は供給ヘッド10の1つ又は2つ以上の方向における運動を提供することもできる。
図2の断面図は、供給ヘッド10の出力面36の一部にわたって放出されたガス流を示す(前述の通り排気路は省く)。この特定の配列において、各出力チャネル12は、図1に見られるガス流入導管14,16又は18のうちの1つとガス流体連通している。各出力チャネル12は、典型的には、第1反応性ガス状材料O、又は第2反応性ガス状材料M、又は第3不活性ガス状材料Iを供給する。
図2は、ガスの比較的基本的又は単純な配列を示す。複数の(材料Oのような)非金属堆積前駆体流、又は複数の(材料Mのような)金属含有前駆体材料流を、薄膜単一堆積の際に種々のポートに順次供給することも考えられる。或いは、例えば交互の金属層を有する、又は金属酸化物材料中に混和された少量のドーパントを有する複合薄膜材料を形成するときに、反応性ガスの混合物、例えば、金属前駆体材料の混合物、又は金属及び非金属前駆体の混合物を単一の出力チャネルに適用することもできる。有意義なことには、パージガスと呼ばれることもある不活性ガスとして符号Iを付けられた中間流が、ガスがその中で互いに反応する見込みのあるいかなる反応物質チャネルをも分離する。第1及び第2反応物質ガス状材料O及びMは、ALD堆積を生じさせるために互いに反応するが、しかし反応物質ガス状材料O又はMも不活性ガス状材料Iとは反応しない。図2以降に使用する用語は、何らかの典型的なタイプの反応性ガスを示す。例えば、第1反応物質ガス状材料Oは、酸化性ガス状材料であってもよく、第2反応物質ガス状材料Mは、金属含有化合物、例えば亜鉛含有材料となる。不活性ガス状材料Iは、窒素、アルゴン、ヘリウム、又はALDシステムにおけるパージガスとして一般的に使用される他のガスであってよい。不活性ガス状材料Iは、第1及び第2反応物質ガス状材料O及びMに対して不活性である。第1及び第2反応物質ガス状材料間の反応は、金属酸化物又はその他の二元化合物、例えば一実施態様において半導体に使用される酸化亜鉛ZnO又はZnSを形成することになる。3種以上の反応物質ガス状材料間の反応は三元化合物、例えばZnAlOを形成することもできる。
図3A及び3Bの断面図は、反応物質ガス状材料O及びMを供給するときに基体20が供給ヘッド10の出力面36に沿って進むのに伴って実施されるALDコーティング動作を、単純化された概略形態で示す。図3Aにおいて、基体20の表面は先ず、第1反応物質ガス状材料Oを供給するものとして指定された出力チャネル12から連続的に放出された酸化材料を受容する。基体の表面はここでは、材料Oの部分的に反応した形態を含む。この部分的に反応した形態は、材料Mとの反応をさせやすい。次いで、基体20が第2反応物質ガス状材料Mの金属化合物の経路内に入ると、Mとの反応が起こり、金属酸化物、又は2つの反応物質ガス状材料から形成することができる何らかの他の薄膜材料を形成する。従来の解決手段とは違って、図3A及び3Bに示された堆積シーケンスは、パルス化されているというよりも、所与の基体又はその特定領域に対する堆積中、連続的である。すなわち、基体20が供給ヘッド10の表面を横切る際に、又はその逆に供給ヘッド10が基体20の表面に沿って進む際に、材料O及びMは連続的に放出される。
図3A及び3Bが示すように、第1及び第2反応物質ガス状材料O及びMの流れの間に設けられた別の出力チャネル12には不活性ガス状材料Iが提供される。特に、図1に示すように、排気チャネル22があるが、出力チャネル12間に真空チャネルは散在しないことが好ましい。供給ヘッド10から放出され、処理において使用された使用済ガスを通気するには、少量の吸引を可能にする排気チャネル22のみを必要とする。
供給ヘッド10の動作についての1つの特徴は、加えられた圧力によって分離距離Dが少なくとも部分的に維持されるという基体20に対するその供給ガス圧に関する。出力面36と基体20の表面との間で或る程度の量のガス圧力を維持することによって、本発明の装置は、供給ヘッド10自体、又は基体20に対する空気支持(air bearing)、又はより適切にはガス流体支持の少なくとも或る程度の部分を提供する。この配列は、続いて説明するように、供給ヘッド10に対する搬送要件を単純化するのを助ける。重要なことは、ガス圧力によって支持されるように供給ヘッドが基体に接近するのを可能にするという効果が、ガス流間の隔離を提供する助けとなるということである。ヘッドをこれらの流れで浮遊させることにより、反応物質流及びパージ流の領域内に圧力場が形成される。これらの圧力場により、ガスは、他のガス流がほとんど又は全く混入することなしに流入部から排気部へ案内される。
一実施態様において、分離距離Dは比較的小さいので、距離Dの変化が小さくても(例えば100マイクロメートルであっても)、分離距離Dを提供する流量、ひいてはガス圧力の著しい変化を必要とすることになる。例えば、一実施態様において、1mm未満の変化を伴って分離距離Dを2倍にするには、分離距離Dを提供するガスの流量を2倍を上回る量、好ましくは4倍を上回る量にすることが必要となる。原則として、分離距離Dを最小化すること、ひいては低減された流量で動作することがより有利であると考えられる。
図4の分解図は、どのように供給ヘッド10をアパーチャ付きプレート集合から構成できるかを、一実施態様における集成体全体の小さな部分に関して示しており、またガスのうちの1つについて一部だけに関するガス流路の例も示す。供給ヘッド10のための結合プレート100は、供給ヘッド10の上流側にあり図4には示されていないガス供給部に接続するための一連の入力ポート104を有する。各入力ポート104は案内チャンバ102と連通しており、この案内チャンバ102は、ガスチャンバプレート110に対して下流側に、受容されたガスを案内する。ガスチャンバプレート110は供給チャンバ112を有する。供給チャンバ112は、ガス案内プレート120上の個々の案内チャネル122とガス流体連通している。案内チャネル122から、ガス流はベースプレート130上の特定の細長い排気チャネル134に進む。ガスディフューザユニット140は、入力ガスの拡散及び最終的な供給をその出力面36で可能にする。ガス流F1の一例は、供給ヘッド10の成分集成体のそれぞれを通るように軌跡を描く。図4に示されたx−y−z軸配向はまた、本出願における図5A及び7にも当てはまる。
図4の例において示したように、供給ヘッド10の供給集成体150は、積み重ねられたアパーチャ付きプレート:結合プレート100、ガスチャンバプレート110、ガス案内プレート120、及びベースプレート130の配列体として形成されている。これらのプレートは、この「水平方向」の実施態様において、出力面36に対して実質的に平行に配置されている。ガスディフューザユニット140は、後で説明するように、積み重ねられたアパーチャ付きプレートから形成することもできる。言うまでもなく、図4に示されたプレートのうちのいずれも、それ自体、積み重ねられたプレートの積層体から加工することもできる。例えば、好適に結合された4つ又は5つの積層されたアパーチャ付きプレートから結合プレート100を形成することが有利である場合がある。このタイプの配列は、案内チャンバ102及び入力ポート104を形成する機械加工法又は成形法ほど複雑ではないことがある。
ガスディフューザユニット140は、基体にガス状材料を供給する出力チャネルを通る流れを均等化するために使用できる。同時係属の本願と同じ出願人による「DELIVERY HEAD COMPRISING GAS DIFFUSER DEVICE FOR THIN FILM DEPOSITION」と題された米国特許出願番号第11/620,740号には、任意選択的に使用できる様々なディフューザシステムが開示されている。代わりに、Suntolaらによる米国特許第4,413,022号におけるように、ディフューザを使用せずに、出力チャネルを使用して、ガス状材料を供給することができる。非拡散流を供給することによって、より高いスループットを得ることができるが、堆積の均一さがより低くなるという犠牲を払うおそれがある。一方、ディフューザシステムは供給装置内で、ヘッドの浮遊を容易にする背圧を提供することができるため、ディフューザシステムは上記の浮遊ヘッドシステムに対して特に有利である。
図5A〜5Dは、図4の実施態様における供給ヘッド10を形成するために互いに組み合わされた主要構成要素のそれぞれを示す。図5Aは複数の案内チャンバ102を示す、結合プレート100の斜視図である。図5Bは、ガスチャンバプレート110の平面図である。一実施態様における供給ヘッド10のためのパージガス又は不活性ガスに対して供給チャンバ113が使用される。供給チャンバ115は、一実施態様における前駆体ガス(O)のための混合を可能にし;排気チャンバ116が、この反応性ガスのための排気路を提供する。同様に、供給チャンバ112は、必要とされる他の反応性ガス、すなわち第2反応物質ガス状材料(M)を提供し;排気チャンバ114は、このガスのための排気路を提供する。
図5Cは、この実施態様における供給ヘッド10のためのガス案内プレート120を示す平面図である。第2反応物質ガス状材料(M)を提供する複数の案内チャネル122が、適切な供給チャンバ112(この図には示されていない)とベースプレート130とを結合するためのパターンを成して配列されている。案内チャネル122の近くに、対応する排気案内チャネル123が配置されている。案内チャネル90は、第1反応物質ガス状材料(O)を提供し、対応する排気案内チャネル91を有する。案内チャネル92は、第3不活性ガス状材料(I)を提供する。ここでも強調すべきことは、図4及び5A〜5Dは、一例としての実施態様を示しているのにすぎず、数多くのその他の実施態様も可能である。
図5Dは、供給ヘッド10のためのベースプレート130の平面図である。ベースプレート130は、排気チャネル134が間に挟まれた複数の細長い放出チャネル132を有する。
図6は、水平方向プレートから形成されたベースプレート130の斜視図であり、入力ポート104が示されている。図6の斜視図は、細長い放出チャネル132と細長い排気チャネル134とを有する、出力面から見たベースプレート130の外面を示す。図4を基準として、図6は、基体に向いた側から見たものである。
図7の分解図は、図4の実施態様及び続いて説明する他の実施態様に使用されるような機械的ガスディフューザユニット140の一実施態様を形成するために使用される構成要素の基本配列を示す。これらは、図8の平面図に示されているノズルプレート142を含む。図8Aの平面図に示されているように、ノズルプレート142がベースプレート130に装着されており、そして細長い放出チャネル132からそのガス流を得る。図示の実施態様において、出力通路143が、所要のガス状材料を提供する。続いて説明するように、排気路内に順次的な第1の排出スロット180が設けられている。
図8Bに示されている、ノズルプレート142及びフェイスプレート148と協働して拡散を行うガスディフューザプレート146がノズルプレート142に装着される。ノズルプレート142、ガスディフューザプレート146、及びフェイスプレート148上の種々の通路の配列は、所要拡散量のガス流を提供するように、そして同時に、基体20の表面領域から離れる方向に排ガスを効率的に案内するように最適化されている。スロット182は排気ポートを提供する。図示の実施態様において、第2ディフューザ出力通路147を形成するガス供給スロットと、排気スロット182とが、ガスディフューザプレート146内に交互に形成されている。
図8Cに示されたフェイスプレート148は基体20に対向する。ガスを提供する第3ディフューザ通路149と排気スロット184とがここでもこの実施態様では交互に形成されている。
図8Dは、ガスディフューザユニット140を通るガス供給路に焦点を当てており;次いで図8Eは、対応する形で排気路を示す。図8Dを参照すると、ガスポートの代表的な組に関して、一実施態様において出力流F2に対する徹底した反応性ガス拡散のために使用される配列全体が示されている。ベースプレート130からのガス(図4)は、ノズルプレート142上の第1のディフューザ通路143を通って提供される。ガスは、ガスディフューザプレート146上の第2ディフューザ通路147に対して下流に進む。図8Dに示されているように、一実施態様において、通路143及び147の間に、鉛直方向のずれ(すなわち、図7に示された水平方向プレート配列を使用する。鉛直方向とは、水平方向平面に対して垂直方向を意味する)を形成することができ、背圧を生成し、ひいてはより均一な流れを容易にするのを助ける。ガスは次いで、出力チャネル12を提供するために、フェイスプレート148上の第3ディフューザ通路149に対して下流側にさらに進む。異なるディフューザ通路143,147及び149は、空間的にオフセットされているだけでなく、混合を最適化するために異なるジオメトリを有していてもよい。
任意選択的に用いられるディフューザユニットがない場合には、ベースプレート内の細長い放出チャネル132は、第3のディフューザ出力通路149に代わって、供給ヘッド10のための出力チャネル12として機能することができる。
図8Eは、同様の実施態様において通気するために設けられた排気路の軌跡を象徴的に描いている。ここでは下流方向では、ガスの供給側とは反対方向を意味する。流れF3は、順番に第3、第2、及び第1排気スロット184,182及び180をそれぞれ通る通気路を示す。ガス供給流F2のより遠回りな混合路とは異なり、図8Eに示された通気用配列は、表面からの使用済ガスの迅速な移動のために意図されている。従って、流れF3は、基体表面から離れる方向で流れる比較的直接的な通気ガスである。
図4に戻ると、結合プレート100、ガスチャンバプレート110、ガス案内プレート120、及びベースプレート130として示された構成要素の組み合わせを、供給集成体150を提供するように、グループ分けすることができる。図4の座標配列及び図を使用した、水平方向ではなく鉛直方向のアパーチャ付きプレートから形成されたものを含む別の実施態様が、供給集成体150には可能である。
図9Aを参照すると、底面から見た(すなわちガス放出側から見た)、鉛直方向に配置されたプレートの積層体、又は出力面36に対して垂直に配置された積み重ねアパーチャ付きプレートを使用して供給アセンブリ150のために使用することができる別の配置が示されている。説明をし易くするために、図9Aの「鉛直方向」実施態様に示された供給集成体150の部分は、2つの細長い放出チャネル152と、2つの細長い排気チャネル154とを有する。図9A〜13Cの鉛直方向プレート配列は、多数の放出チャネル及び排気チャネルを提供するように容易に拡張することができる。図9A及び9Bに示されたような、出力面36の平面に対して垂直に配置されたアパーチャ付きプレートによって、それぞれの細長い放出チャネル152は、続いてより詳細に示す、反応物質プレートをセパレータプレートの間に配置して、セパレータプレートによって規定された側壁を持たせることにより形成されている。アパーチャの適切な位置合わせは、ガス状材料の供給との流体連通を可能にする。
図9Bの分解図は、図9Aに示された供給集成体150の小さな区分を形成するように使用されたアパーチャ付きプレートの配列を示す。図9Cは、積層されたアパーチャ付きプレートを使用して形成された、放出ガスのための5つの細長いチャネルを有する供給集成体150を示す平面図である。次に図10A〜13Bは、種々のプレートを平面図及び斜視図で示す。便宜上、各タイプのアパーチャ付きプレートを符号で示す:セパレータS、パージP、反応物質R、及び排気E。
図9Bの左から右に向かって見て、基体に向かう方向又は基体から離れる方向にガスを案内するために使用されるプレートの間で交互に、図10A及び10Bにも示されたセパレータプレート160(S)が設けられている。図11A及び11Bには、パージプレート162(P)が示されている。図12A及び12Bには、排気プレート164(E)が示されている。図13A及び13Bは、反応物質プレート166(R)を示す。図13Cは、図13Aの反応物質プレート166を水平方向に反転させることにより得られた反応物質プレート166を示す。この別の配向は、必要に応じて、排気プレート164とともに使用することもできる。アパーチャ付きプレートのそれぞれに設けられたアパーチャ168は、プレートを積み重ねたときに整合し、ひいては、図1を参照しながら説明したように、ガスが供給集成体150を通して細長い放出出力チャネル152及び細長い排気チャネル154内に入るのを可能にするようにダクトを形成する。
図9Bに戻ると、供給集成体150の一部だけが示されている。この部分のプレート構造は、前に割り当てられた略字を使用して表すことができる。すなわち:
S−P−S−E−S−R−S−E−(S)
(この配列中の最後のセパレータプレートは図9A又は9Bには示されていない。)この配列が示すように、セパレータプレート160(S)は、側壁を形成することにより各チャネルを規定する。所要のパージガスとともに2つの反応性ガスを提供するための最小の供給集成体150、及び典型的なALD堆積のための排気チャネルは、完全な略字配列を使用して表される:
S−P−S−E1−S−R1−S−E1−S−P−S−E2−S−R2−S−E2−S−P−S−E1−S−R1−S−E1−S−P−S−E2−S−R2−S−E2−S−P−S−E1−S−R1−S−E1−S−P−S
R1及びR2は、2つの異なる反応性ガスが使用される場合に、異なる配向の反応物質プレート166を表し、E1及びE2は対応して、異なる配向の排気プレート164を表す。
排気チャネル154は従来の意味で真空ポートである必要はなく、単に、その対応出力チャネル12内の流れを引き抜き、ひいてはチャネル内部の均一な流れパターンを促進するために設けられていればよい。隣接する細長い放出チャネル152におけるガス圧力の対向圧力よりもわずかだけ低い負の吸引圧力が、秩序正しい流れを促進するのを助けることができる。負の吸引は、例えば0.2〜1.0気圧の源(例えば真空ポンプ)の吸引圧力で動作することができるのに対して、典型的な真空は例えば0.1気圧未満である。
供給ヘッド10によって提供される流れパターンを使用することにより、従来のアプローチ、例えば背景技術の項で前述したアプローチ、堆積チャンバに個々に与えられるパルス状ガスを凌ぐ数多くの利点が提供される。堆積装置の可動性が改善され、そして本発明の装置は、基体の寸法が堆積ヘッドのサイズを上回るような大量堆積用途に適している。流体力学も以前のアプローチよりも改善される。
本発明に使用される流れ配列は、図1に示されているように、供給ヘッド10と基体20との間の極めて小さな距離D、好ましくは1mmを下回る距離を可能にする。出力面36は、基体表面から1ミル(約0.025mm)以内に、極めて近接して位置決めすることができる。近接した位置決めは、反応性ガス流によって生成されたガス圧力によって容易になる。比較によると、CVD装置は、著しく大きい分離距離を必要とする。前に引用した米国特許第6,821,563号明細書(Yudovsky)に記載されている繰り返し堆積のような以前のアプローチは、基体表面に対して0.5mm以上の距離に限定されたのに対して、本発明の実施態様は、0.5mm未満、例えば0.450mm未満で実際的であり得る。事実上、基体表面に近接して供給ヘッド10を位置決めすることが、本発明において好ましい。具体的に好ましい実施態様において、基体の表面からの距離Dは、0.20mm以下、好ましくは100μm未満であってよい。
積層プレートの実施態様において、多数のプレートを集成するときに、基体に供給されたガス流が、ガス流(I、M又はO材料)を供給するチャネルの全てにわたって均一であることが望ましい。このことは、各細長い放出出力チャネル又は排気チャネルに対して再現可能な圧力降下を提供するように正確に機械加工される各プレートに対応する何らかの流れパターン部分に制限を有するような、アパーチャ付きプレートの適正な構成により達成することができる。一実施態様において、出力チャネル12は開口部の長さ方向に沿って、偏差が10%以内で、実質的に等しい圧力を示す。例えば5%以内又は2%もの小さな偏差を可能にするより高い許容度を設定することもできる。
積層されたアパーチャ付きプレートを使用する方法は、特に有用な供給ヘッド構成方法ではあるが、別の実施態様において有用であり得るこのような構造を形成するための数多くの他の方法がある。例えば、この装置は、1つの金属ブロック又は互いに付着したいくつかの金属ブロックを直接機械加工することにより構成することができる。さらに、当業者には明らかなように、内部の成形構成要件を伴う成形技術を採用することができる。装置は、数多くの立体リソグラフィ技術のいずれかを用いて構成することもできる。
本発明の供給ヘッド10により提供される1つの利点は、出力面36と基体20の表面との間に好適な分離距離D(図1)を維持することができることに関する。図14は、供給ヘッド10から放出されたガス流の圧力を用いて距離Dを維持するためのいくつかの重要な考察を示す。
図14において、代表的な数の出力チャネル12及び排気チャネル22を示す。出力チャネル12のうちの1つ又は2つ以上から放出されたガスの圧力は、この図面では下向きの矢印によって示されている力を発生させる。この力が、供給ヘッド10のための有用なクッション効果又は「空気」支持(流体ガス支持)効果を提供するために、十分なランド面積、すなわち基体と密接に接触することができる出力面36に沿った十分な固体表面積が存在すべきである。ランド面積のパーセンテージは、下方にガス圧力の形成を可能にする出力面36の固体面積の相対量に相当する。簡単に言えば、ランド面積は、出力面36の総面積から出力チャネル12及び排気チャネル22の総表面積を引き算したものとして計算することができる。これは、幅w1を有する出力チャネル、又は幅w2を有する排気チャネル22のガス流領域を除いた総表面積は、できる限り最大化されるべきであることを意味する。95%のランド面積が1つの実施態様において提供される。他の実施態様は、より小さなランド面積値、例えば85%又は75%を使用することができる。分離力又はクッション力を変化させ、ひいてはこれに応じて距離Dを変えるために、ガス流量を調節することもできる。
言うまでもなく、供給ヘッド10が基体20の上方の距離Dのところで実質的に維持されるように、流体ガス支持を設けると有利である。この支持は、任意の好適なタイプの搬送メカニズムを使用して、供給ヘッド10の事実上摩擦のない運動を可能にする。供給ヘッド10を、前後にチャネリングされるのに伴って、基体20の表面の上方で「ホバリング」させ、材料堆積中に基体20の表面を横切るように擦過させることもできる。
図14に示されているように、供給ヘッド10が余りにも重いことにより、下方に向かって働くガス力が、必要な分離を維持するには十分でないことがある。このような場合、補助つり上げ構成要素、例えばばね170、磁石、又はその他の装置を使用することにより、揚力を補足することもできる。他の事例において、ガス流は、逆の問題を引き起こすのに十分に高いことがあり、この場合、付加的な力が加えられなければ、余りにも大きい距離だけ基体20の表面から供給ヘッド10が強制的に離されることになる。このような場合において、距離Dを維持するのに必要な付加的な力(図14の配列に対して下方に向かう力)を提供するための圧縮ばねであってよい。或いは、ばね170は、磁石、エラストマーばね、又は下方に向かって作用する力を補足する何らかの他の装置であってよい。
或いは、供給ヘッド10は、基体20に対して何らかの他の配向で位置決めされてもよい。例えば基体20は、重力と対向する流体ガス支持効果によって支持することにより、堆積中に基体20を供給ヘッド10に沿って動かすことができる。供給ヘッド10の上方にクッショニングされた基体20に堆積させるための、流体ガス支持効果を用いた一実施態様を図20に示されている。供給ヘッド10の出力面36を横切る基体20の運動は、図示の複矢印に沿った方向で行われる。
図21の別の実施態様は、供給ヘッド10と流体ガス支持98との間を方向Kに動く、基体支持体74、例えばウェブ支持体又はローラ上の基体20を示す。この場合、空気又は別の不活性ガスを単独で使用することができる。この実施態様において、供給ヘッド10は、出力面36と基体20との間の所望の距離を維持するために、空気支持効果、あるいは、より適切には、ガス流体支持効果を有し、ガス流体支持98と協働する。ガス流体支持98は、不活性ガス、又は空気、又は何らかの他のガス状材料の流れF4を使用して圧力を案内することができる。なお、本発明の堆積システムの場合、基体支持体又はホルダは、堆積中、基体と接触していてもよく、その基体支持体は基体を搬送する手段、例えばローラであってよい。従って、処理される基体の熱的隔離は本発明のシステムの要件ではない。
図3A及び3Bに関して具体的に記載したように、供給ヘッド10は、その堆積機能を発揮するには、基体20の表面に対する相対運動を必要とする。供給ヘッド10及び基体20のいずれか又は両方の運動を含むこの相対運動は、数多くの方法で、例えば基体支持体を提供する装置を動かすことにより、得ることができる。運動は振動又は往復運動であってよく、或いは、いかに多くの堆積サイクルが必要とされるかに応じて、連続運動であってもよい。特にバッチ法において基体の回転を利用することもできるが、連続法が好ましい。アクチュエータを、供給ヘッドの本体に、例えば機械的接続によって結合してもよい。交互の力、例えば変化する磁力界が交互に使用されてもよい。
典型的には、ALDは、制御された膜深さを各サイクル毎に形成する複数の堆積サイクルを必要とする。上記のガス状材料タイプに対する用語を使用して、単一のサイクルは、例えば単純な構成において、第1反応物質ガス状材料Oを1回適用し、そして第2反応物質ガス状材料Mを1回適用することを可能にする。
O及びM反応物質ガス状材料のための出力チャネル間の距離は、各サイクルを完成するのに必要な往復運動距離を決定する。例えば図4の供給ヘッド10は、反応性ガスチャネル出力部と隣接パージチャネル出力部との間の幅において、公称チャネル幅0.1インチ(2.54mm)を有することができる。従って、(本明細書中に使用されるy軸に沿った)往復運動によって同じ表面の全ての領域が全ALDサイクルを施されるのを可能にするために、少なくとも0.4インチ(10.2mm)の行程が必要とされる。この例の場合、基体20の1領域を、第1反応物質ガス状材料O及び第2反応物質ガス状材料Mの両方に、この距離全体にわたって動かしながら曝露する。或いは、供給ヘッドは、その行程に関してより長い距離だけ動くこともでき、基体の一方の端部から他方の端部まで運動することさえもあり得る。この事例において、成長しつつある膜をその成長期間中、周囲条件に曝露することができ、多くの使用環境において不都合な影響を引き起こすことはない。いくつかの事例において、均一性を考慮して、例えば往復移動の端点に沿ったエッジ作用又はエッジ形成を低減するために、各サイクルにおける往復運動量に対するランダム性の尺度を必要とすることがある。
供給ヘッド10は、単一のサイクルを提供するのに十分な出力チャネル12だけを有していてよい。或いは、供給ヘッド10は、複数サイクルの配列を有していて、より広い堆積面積に範囲が及ぶことを可能にするか、又は往復運動距離の1トラバースにおいて2つ又は3つ以上の堆積サイクルを許す距離全体にわたるその往復運動を可能にする。
例えば、1つの特定の用途において、各O−Mサイクルが、処理済表面の1/4にわたって1原子直径の層を形成することが判った。従って、処理済表面の均一な1原子直径層を形成するためには、4サイクルがこの場合必要となる。同様に、この事例において均一な10原子直径層を形成するためには、40サイクルが必要であろう。
本発明の供給ヘッド10のために用いられる往復運動の利点は、これが出力面36の面積を上回る面積を有する基体20上への堆積を可能にすることである。図15は、矢印Aによって示されたy軸に沿った往復運動、及びこの往復運動に対して直交方向又は横方向の、x軸方向に沿った運動を用いて、どのようにこの広い面積を被覆することができるかを概略的に示している。ここでも、強調すべき点は、図15に示されたようなx又はy方向における運動は、供給ヘッド10の動作によって、又、動作をもたらす基体支持体74によって提供される基体20の動作によって、又は供給ヘッド10及び基体20双方の動作によって生じさせ得ることである。
図15において、供給ヘッドと基体との相対運動方向は互いに垂直である。この相対運動を平行にすることも可能である。この場合、相対運動は、振動を表す非ゼロ周波数成分と、基体の変位を表すゼロ周波数成分とを有することが必要である。この組み合わせは、固定基体上の供給ヘッドの変位と組み合わされた振動;固定供給ヘッドに対する基体の変位と組み合わされた振動;又は振動と固定運動とが供給ヘッド及び基体の両方の動作によって提供される任意の組み合わせによって達成することができる。
有利には、多くのタイプの堆積ヘッドにとって可能であるよりも小さいサイズで供給ヘッド10を製造することができる。例えば、一実施態様において、出力チャネル12は0.005インチ(0.127mm)の幅w1を有しており、3インチ(75mm)までの長さで延びている。
好ましい実施態様において、ALDは、大気圧又は近大気圧で、また周囲及び基体の広範囲の温度、好ましくは300℃未満の温度で実施することができる。好ましくは、汚染の可能性を最小限にするために、比較的清浄な環境が必要となるが、しかし、本発明の装置の好ましい実施態様を使用すると、良好な性能を得るために、完全な「クリーンルーム」条件又は不活性ガス充填閉鎖容器が必要とされることはない。
図16は、比較的良好に制御され、汚染なしの環境を提供するためのチャンバ50を有する原子層堆積(ALD)システム60を示す。ガス供給部28a,28b及び28cは、供給ライン32を通して供給ヘッド10に、第1、第2及び第3ガス状材料を提供する。可撓性供給ライン32を任意選択的に使用することは、供給ヘッド10の運動を容易にするのを促進する。便宜上、任意選択的な真空蒸気回収装置及びその他の支持構成要素は図16には示されていないが、これらを使用することもできる。搬送サブシステム54は、供給ヘッド10の出力面36に沿って基体20を搬送する基体支持体を提供し、本発明の開示に採用された座標軸システムを使用して、x方向における運動を可能にする。運動制御、並びに弁及び他の支持構成要素の全体的な制御を、制御論理プロセッサ56、例えばコンピュータ又は専用マイクロプロセッサ集成体によって提供することができる。図16の配列において、制御論理プロセッサ56は、供給ヘッド10に往復運動を提供するためのアクチュエータ30を制御し、そして搬送サブシステム54の搬送モータ52も制御する。アクチュエータ30は、移動する基体20に沿って(或いは、定置基体20に沿って)供給ヘッド10の前後運動を引き起こすのに適した様々な装置のうちのいずれであってもよい。
図17は、ウェブ基体66上に薄膜堆積するための原子層堆積(ALD)システム70の別の実施態様を示す。ウェブ基体66は、基体支持体として作用するウェブコンベヤ62に沿って供給ヘッド10を越えて搬送される。ウェブはそれ自体が、基体であってよく、或いはウェブは、さらなる基体のための支持を提供してもよい。供給ヘッド搬送装置64は、ウェブ移動方法に対して横方向にウェブ基体66の表面を横切るように供給ヘッド10を搬送する。一実施態様において、ガス圧力によって提供される完全分離力によって、ウェブ基体66の表面を横切るように前後に推進される。別の実施態様において、供給ヘッド搬送装置64は、ウェブ基体66の幅を横断する親ねじ又は同様のメカニズムを使用する。別の実施態様において、供給ヘッド10は、ウェブコンベヤ62に沿った好適な位置で使用される。
図18は、流れパターンが図17の構造に対して直交方向に配向されている定置の供給ヘッド10を使用した、ウェブ配列における別の原子層堆積(ALD)システム70の別の実施態様を示す。この配列では、ウェブコンベヤ62自体の運動が、ALD堆積に必要な動作を提供する。この環境において往復運動を用いることもできる。図19を参照すると、出力面36が所定の量の曲率を有する供給ヘッド10の一部の実施態様が示されている。このような曲率は、いくつかのウェブコーティング用途に対して有利なことがある。凸面状又は凹面状の湾曲を設けることができる。
ウェブ製造のために特に有用であり得る別の実施態様において、ALDシステム70は、複数の供給ヘッド10、又は1つのヘッドがウェブ基体66の各側に配置されているデュアル供給ヘッド10を有することができる。可撓性供給ヘッド10を代わりに設けることもできる。これによって、堆積表面に対する少なくとも或る程度の一致を示す堆積装置が提供される。
さらに別の実施態様において、供給ヘッド10の1つ又は2つ以上の出力チャネル12が、前に引用した2006年3月29日付けで出願された「APPARATUS FOR ATOMIC LAYER DEPOSITION」と題された米国特許出願第11/392,006号明細書(Levyら)に開示された横方向ガス流配列を使用することができる。このような実施態様において、供給ヘッド10と基体20との間の分離を支持するガス圧力は、いくつかの数の出力チャネル12によって、例えばパージガスを放出するチャネル(図2〜3Bで符号Iを付けられたチャネル)によって維持することができる。次いで、反応性ガスを放出する1つ又は2つ以上の出力チャネル12(図2〜3Bで符号O又はMを付けられたチャネル)のために横方向流が使用されることになる。
本発明の装置は、いくつかの実施態様における室温又は近室温を含む、広範囲の温度にわたって基体上への堆積を実施できる点で有利である。本発明の装置は真空環境において動作することができるが、しかし、大気圧又は近大気圧での動作に特によく適している。
本発明の方法に従って形成される半導体膜を有する薄膜トランジスタは、0.01cm2/Vs超、好ましくは少なくとも0.1cm2/Vs、より好ましくは0.2cm2/Vsの電界効果電子移動度を示すことができる。加えて本発明の方法に従って形成される半導体膜を有するnチャネル薄膜トランジスタは、少なくとも104、有利には少なくとも105のオン/オフ比を提供することができる。オン/オフ比は、ドレイン電流の最大値/最小値として測定される。それというのもゲート電圧は、ディスプレイのゲートライン上で使用することができる関連電圧を代表する1つの値から別の値へ掃引されるからである。典型的な値集合は−10V〜40Vとなり、この場合ドレイン電圧は30Vで維持される。
基体20の表面から供給ヘッド10を少なくとも部分的に分離するために空気支持効果を用いることができるが、本発明の装置はその代わりに、供給ヘッド10の出力表面36から基体20をつり上げるか又は浮揚させるために使用することもできる。或いは、例えばプラテンなどの、他のタイプの基体ホルダを使用することもできる。
比較例C1:
本発明との比較のために、Levyらにより2006年3月29日付けで出願された「APPARATUS FOR ATOMIC LAYER DEPOSITION」と題された米国特許出願第11/392,006号明細書に開示されているような対照APALD(大気圧原子層堆積)を使用してシリコンウェハ上にAl23の膜を成長させた。このAPALD装置は、以下のとおりの構成で11個の出力チャネルを有するように構成されていた:
チャネル1:パージガス
チャネル2:酸化剤含有ガス
チャネル3:パージガス
チャネル4:金属前駆体含有ガス
チャネル5:パージガス
チャネル6:酸化剤含有ガス
チャネル7:パージガス
チャネル8:金属前駆体含有ガス
チャネル9:パージガス
チャネル10:酸化剤含有ガス
チャネル11:パージガス
このフィルムは150℃の基体温度で成長した。APALDコーティングヘッドに供給したガス流は以下のとおりであった:
(i)総流量2000sccm(標準立方センチメートル毎分(standard cubic centimeters per minute))でチャネル1,3,5,7,9及び11に窒素不活性パージガスを供給した。
(ii)トリメチルアルミニウム(TMA)を含有する窒素を基にするガス流をチャネル4及び8に供給した。このガス流は、300sccmの純粋窒素の流れと、室温のTMAで飽和した7sccmの窒素の流れとを混合することにより生成させた。
(iii)水蒸気を含有する窒素を基にするガス流をチャネル2,6及び10に供給した。このガス流は、300sccmの純粋窒素と、室温の水蒸気で飽和した25sccmの窒素の流れとを混合することにより生成させた。
マイクロメートル調整機構を使用して、上記のガス供給流でコーティングヘッドを、基体の上方約30マイクロメートルの定位置に移動させた。この時点で、コーティングヘッドを基体全体にわたって175サイクル振動させることにより、約900Å厚のAl23膜が生成した。
アルミニウム蒸発中にシャドーマスクを使用して、Al23層の上側にアルミニウムコンタクトパッドをコーティングすることにより、電流漏れ試験構造体を形成した。このプロセスの結果、面積500ミクロン×200ミクロンで約500Å厚の、Al23層の上側のアルミニウムコンタクトパッドが形成された。
シリコンウェハからAlコンタクトまでの漏れ電流は、所与のアルミニウムコンタクトパッドとシリコンウェハとの間に20Vを印加し、HP−4155C(登録商標)パラメータ分析装置を用いて電流量を測定することにより求めた。
この試料では、20Vの電位において、漏れ電流は8.2×10-8Aであった。
実施例E1:
本発明のAPALD装置を使用して、Al23の膜をシリコンウェハ上に成長させた。このAPLAD装置は、比較例C1の装置と同様の構成であった。膜を150℃の基体温度で成長させた。APALDコーティングヘッドに供給されたガス流は以下の通りであった:
(i)総流量3000sccmでチャネル1,3,5,7,9及び11に窒素不活性パージガスを供給した。
(ii)トリメチルアルミニウムを含有する窒素を基にするガス流をチャネル4及び8に供給した。このガス流は、約400sccmの純粋窒素の流れと、室温のTMAで飽和した3.5sccmの窒素の流れとを混合することにより生成させた。
(iii)水蒸気を含有する窒素を基にするガス流をチャネル2,6及び10に供給した。このガス流は、約350sccmの純粋窒素の流れと、室温の水蒸気で飽和した20sccmの窒素の流れとを混合することにより生成させた。
上記のガス供給流でコーティングヘッドを、基体に近接させ、次いで解放すると、先に述べたようにガス流に基づいて基体の上にコーティングヘッドが浮遊した。この時点で、コーティングヘッドを基体全体にわたって300サイクル振動させることにより、約900Å厚のAl23膜が生成した。
例C1におけるのと同じ手順およびコンタクトパッドサイズでAl23層の上にアルミニウムコンタクトパッドをコーティングすることにより電流漏れ試験構造体を形成した。
20Vの電位において、Al23誘電体を通る漏れは1.3×10-11Aであった。この試験データから判るように、この例のガス上昇コーティングヘッドは、有用な誘電体膜の製造にとって望ましい、電流漏れが著しく少ない膜を生成する。
10 供給ヘッド
12 出力チャネル
14,16,18 ガス流入導管
20 基体
22 排気チャネル
24 排気導管
28a,28b,28c ガス供給部
30 アクチュエータ
32 供給ライン
36 出力面
50 チャンバ
52 搬送モータ
54 搬送サブシステム
56 制御論理プロセッサ
60 原子層堆積(ALD)システム
62 ウェブコンベア
64 供給ヘッド搬送
66 ウェブ基体
70 原子層堆積(ALD)システム
74 基体支持体
90 前駆体材料のための案内チャネル
91 排気案内チャネル
92 パージガスのための案内チャネル
96 基体支持体
98 ガス流体支持
100 結合プレート
102 案内チャンバ
104 入力ポート
110 ガスチャンバプレート
112,113,115 供給チャンバ
114,116 排気チャンバ
120 ガス案内プレート
122 前駆体材料のための案内チャネル
123 排気案内チャネル
130 ベースプレート
132 細長い放出チャネル
134 細長い排気チャネル
140 ガスディフューザユニット
142 ノズルプレート
143,147,149 第1、第2、第3ディフューザ通路
146 ガスディフューザプレート
148 フェイスプレート
150 供給集成体
152 細長い放出チャネル
154 細長い排気チャネル
160 セパレータプレート
162 パージプレート
164 排気プレート
166,166’ 反応物質プレート
168 アパーチャ
170 ばね
180 連続した第1排気スロット
182 連続した第2排気スロット
184 連続した第3排気スロット
A 矢印
D 距離
E 排気プレート
F1,F2,F3,F4 ガス流
I 第3不活性ガス状材料
K 方向
M 第2反応物質ガス状材料
O 第1反応物質ガス状材料
P パージプレート
R 反応物質プレート
S セパレータプレート
w1,w2 チャネル幅
X 矢印

Claims (64)

  1. 基体上に固体材料を薄膜堆積させるための堆積システムであって、
    a)複数のガス状材料にそれぞれ対応する複数の供給源であって、第1、第2及び第3のガス状材料にそれぞれ対応する第1、第2及び第3供給源を少なくとも含む複数の供給源と;
    b)薄膜堆積を受ける基体にガス状材料を供給するための供給ヘッドであって、
    (i)第1、第2及び第3ガス状材料をそれぞれ受容するための少なくとも第1、第2及び第3流入ポートを含む複数の流入ポートと;
    (b)複数の出力開口部を含み、かつ、基体の表面から所定の距離をおいて対向する出力面;
    を含む供給ヘッド、ここで、第1、第2及び第3のガス状材料は前記出力面の出力開口部から同時に排出される;
    c)基体を支持するために任意選択的に用いてもよい基体支持体と;
    を含み、
    d)薄膜堆積中に供給ヘッドの出力面と基体表面との間で実質的に均一な距離を維持することを含み、薄膜堆積のために基体表面への供給ヘッドからのガス状材料の1つまたは2つ以上の流れにより発生した圧力が、基体の表面から供給ヘッドの出力面を分離する力の少なくとも一部を提供する、基体上に固体材料を薄膜堆積させるための堆積システム。
  2. 実質的に均一な距離が、ガス状材料の1つ又は2つ以上の流れにより発生した圧力により実質的に維持され、1つ又は2つ以上のガス状材料の流量を変えることにより距離を調節することができる、請求項1に記載の堆積システム。
  3. 供給ヘッドに結合されたアクチュエータをさらに含んで基体の表面に沿う供給ヘッドの往復運動を提供する、請求項1に記載の堆積システム。
  4. 少なくとも1つの出力開口部の幅が0.05〜2mmである、請求項1に記載の堆積システム。
  5. 出力面が、断面で、曲率を有する、請求項1に記載の堆積システム。
  6. 断面で、出力開口部が四角形である、請求項1に記載の堆積システム。
  7. 供給ヘッドがさらに少なくとも1つの排気ポートを含む、請求項1に記載の堆積システム。
  8. 少なくとも1つの排気ポートによりガス状材料を再利用のために再循環させる、請求項7に記載の堆積システム。
  9. 基体を支持するための基体支持体をさらに含み、堆積システムは、動作中に、出力面と基体表面との間に相対運動を提供することができる、請求項1に記載の堆積装置。
  10. 供給ヘッドに結合されたアクチュエータをさらに含んで、出力開口部の長手方向に対して実質的に直交する方向に供給ヘッドの往復運動を提供し、それにより供給ヘッドに振動運動を提供する、請求項9に記載の堆積システム。
  11. 基体支持体が、供給ヘッドの出力面に沿って基体を運動させるための搬送装置を含む、請求項9に記載の堆積システム。
  12. 固体材料の薄膜堆積のための基体の総表面積が、供給ヘッドの出力面の表面積を超える、請求項9に記載の堆積システム。
  13. 基体支持体が移動ウェブを搬送する、請求項9に記載の堆積システム。
  14. 基体表面が、供給ヘッドの出力面から0.4mm以内の分離距離で維持される、請求項1に記載の堆積システム。
  15. 搬送装置により提供されるウェブの運動が連続的であり、任意選択的に往復的であってもよい、請求項13に記載の堆積システム。
  16. 第1、第2及び第3ガス状材料開口部の流れが薄膜堆積中に実質的に連続的である、請求項1に記載の堆積システム。
  17. 薄膜堆積中の供給ヘッド及び基体のためのチャンバハウジングを更に含む、請求項1に記載の堆積システム。
  18. 基体及び供給ヘッドが、大気に対して開放されている、請求項13に記載の堆積システム。
  19. ウェブ基体を供給ヘッドの出力面を通り越して移動させてウェブ基体の所定の領域にわたって薄膜を堆積させるためのコンベヤをさらに含み、ここで、ウェブ基体は、供給ヘッドの出力面に実質的に均一に近接し、堆積システムは、当該システムの動作中に、上記の近接を維持したまま出力面と基体表面の間の相対運動を提供することができる、請求項11に記載の堆積システム。
  20. ウェブの運動に対して直角な方向に供給ヘッドを運動させるための搬送集成体をさらに含む、請求項19に記載の堆積システム。
  21. 供給ヘッドの面から基体の表面を分離する圧力が、供給ヘッドの面からのガス流の全てにより実質的に等しく提供される、請求項1に記載の堆積システム。
  22. 一連のガス流が、供給ヘッドの出力面における排気出口により互いに分離されている、請求項1に記載の堆積システム。
  23. 第1及び第2反応性ガス状材料の流れが、少なくとも不活性パージガスおよび排気出口により空間的に実質的に分離されている、請求項1に記載の堆積システム。
  24. ガス流が、供給ヘッドの出力面における実質的に平行な細長い開口部を通して供給される、請求項1に記載の堆積システム。
  25. 実質的に平行な細長い開口部が実質的に同心的である、請求項24に記載の堆積システム。
  26. 不活性ガス流を供給するさらなる細長い開口部が、実質的に平行な細長い開口部に対して垂直である、請求項25に記載の堆積システム。
  27. 実質的に垂直な細長い開口部が、実質的に平行な細長い開口部の端部と供給ヘッドのエッジの外面の間に位置する、請求項26に記載の堆積システム。
  28. 供給ヘッドの外面と基体の間に維持される実質的に均一な距離が1mm未満である、請求項1に記載の堆積システム。
  29. 供給ヘッドの外面と基体の間に維持される実質的に均一な距離が500マイクロメートル未満である、請求項1に記載の堆積システム。
  30. 供給ヘッドの外面と基体の間に維持される実質的に均一な距離が200マイクロメートル未満である、請求項1に記載の堆積システム。
  31. 供給ヘッドの出力面が当該外面の総面積の少なくとも95%であるランド面積を有する、請求項1に記載の堆積システム。
  32. 供給ヘッドの出力面が当該外面の総面積の少なくとも85%であるランド面積を有する、請求項1に記載の堆積システム。
  33. 供給ヘッドの出力面が当該外面の総面積の少なくとも75%であるランド面積を有する、請求項1に記載の堆積システム。
  34. 細長い開口部を出るガス状材料が当該開口部の長手方向に沿って、偏差10%以内で実質的に等しい圧力を有する、請求項26に記載の堆積システム。
  35. 基体が、プラテンである基体ホルダ上にある、請求項1に記載の堆積システム。
  36. ガス流体支持によって、基体、又は、任意選択的に供給ヘッドのための支持を提供してもよい基体のための基体ホルダを浮かせ、このガス流体支持が、供給ヘッドに対向する第1表面とは反対側の基体の第2表面に対してガス圧を印加する、請求項1に記載の堆積システム。
  37. 基体を第1堆積部分と第2堆積部分の間でその位置を変位させずに基体の両側を薄膜体積に同時に又は逐次的にかけることができるように、前記供給ヘッドから見て基体の反対側にさらなる第2の供給ヘッドが設けられている、請求項20に記載の堆積システム。
  38. 処理される基体の上方の出力面が硬質であり、かつ、平面的又は非平面的である、請求項1に記載の堆積システム。
  39. 処理される基体の上方の出力面が可撓性であり、基体に整合する、請求項1に記載の堆積システム。
  40. 出力面と基体の間の分離距離を維持するのを支援する力を提供するための浮揚又は加圧要素をさらに含む、請求項1に記載の堆積システム。
  41. 基体ホルダが、堆積中に基体と接触し、及び/又は、基体を搬送する手段が、堆積中に基体と接触する、請求項1に記載の堆積システム。
  42. 薄膜材料を基体上に堆積させる方法であって、薄膜堆積システムの供給ヘッドの出力面から基体の表面に向けて一連のガス流を同時に案内することを含み、ここで、一連のガス流は、少なくとも、第1反応性ガス状材料と、不活性パージガスと、第2反応性ガス状材料とを含み、第1反応性ガス状材料は、第2反応性ガス状材料で処理された基体表面と反応することができ、ガス流のうちの1つ又は2つ以上が、供給ヘッドの面から基体の表面を分離するのに少なくとも寄与する圧力を提供する、薄膜材料を基体上に堆積させるための方法。
  43. ガス流が、基体に近接して位置する実質的に平行な一連の開放された細長い出力チャネルから提供され、供給ヘッドの出力面は、堆積にかけられる基体の表面から1mm以内の間隔を置いて位置する、請求項48に記載の方法。
  44. 基体が、間隔を置いて配置された複数の供給ヘッドにより処理される、請求項42に記載の方法。
  45. 基体の所与の領域が、一度に500ミリ秒未満にわたって、第1反応性ガス状材料のガス流に曝露される、請求項42に記載の方法。
  46. さらに、供給ヘッドと基体との間の相対運動を可能にすることを含む、請求項48に記載の方法。
  47. 反応性ガス状材料のうちの少なくとも1つの材料のガス流が少なくとも1sccmである、請求項42に記載の方法。
  48. 堆積中の基体の温度が300℃未満である、請求項48に記載の方法。
  49. 第1反応性ガス状材料が金属含有化合物であり、第2の反応性ガス状材料が非金属化合物である、請求項42に記載の方法。
  50. 金属が周期表のII,III,IV,V又はVI族の元素である、請求項49に記載の方法。
  51. 金属含有化合物が、300℃未満の温度で蒸発することができる有機金属化合物である、請求項49に記載の方法。
  52. 金属含有反応性ガス状材料が非金属の反応性ガス状材料と反応することにより、五酸化タンタル、酸化アルミニウム、酸化チタン、五酸化ニオブ、酸化ジルコニウム、酸化ハフニウム、酸化亜鉛、酸化ランタン、酸化イットリウム、酸化セリウム、酸化バナジウム、酸化モリブデン、酸化マンガン、酸化錫、酸化インジウム、酸化タングステン、二酸化ケイ素、硫化亜鉛、硫化ストロンチウム、硫化カルシウム、硫化鉛、及びこれらの混合物から選択された酸化物又は硫化物材料を形成する、請求項49に記載の方法。
  53. 当該方法において使用される反応性ガス状材料が周囲の空気と混合することを防止できるように、供給ヘッドの出力面の最初及び最後の出力開口部における最初及び最後のガス流が反応性ガス状材料ではない、請求項42に記載の方法。
  54. 前記方法が、トランジスタにおいて使用するために、基体上に半導体又は誘電体薄膜を形成するために用いられ、当該薄膜は金属酸化物系材料を含み、前記方法は、金属酸化物系材料の少なくとも1つの層を300℃以下の温度で基体上に形成することを含み、金属酸化物系材料は、有機金属前駆体化合物を含む第1反応性ガス及び反応性酸素含有ガス状材料を含む第2反応性ガスを含む少なくとも2種の反応性ガスの反応生成物である、請求項42に記載の方法。
  55. 基体の表面が、基体に対向する出力面の開口部について、出力面から1mm未満の距離に位置する、請求項42に記載の方法。
  56. 前記の近接度が0.5mm未満である、請求項55に記載の方法。
  57. 前記方法の実施中に、基体支持体、もしくは供給ヘッドに結合されたアクチュエータ、またはこれらの両方が、出力面と基体の表面との間に相対運動を提供することができる、請求項42に記載の方法。
  58. 堆積中に、供給ヘッドに振動運動を与えることをさらに含み、この振動運動は、任意選択的に、供給ヘッドの出力チャネルの長手方向に対して直交していてもよい、請求項42に記載の方法。
  59. 供給ヘッドの出力面に沿って基体を運動させることをさらに含み、この運動は任意選択的に一方向で連続的である、請求項42に記載の方法。
  60. 薄膜材料堆積の表面積が供給ヘッドの出力面の表面積を上回る、請求項42に記載の方法。
  61. 基体と供給ヘッドの出力面との分離距離が0.3mm以内である、請求項42に記載の方法。
  62. 第1、第2及び第3出力チャネルにおけるガス状材料の流れが、堆積操作中に実質的に連続的である、請求項42に記載の方法。
  63. 基体及び供給ヘッドが、大気に対して開放されている、請求項42に記載の方法。
  64. ウェブを供給ヘッドの出力面を通り越して移動させてウェブの所定の領域にわたって薄膜を堆積させるためのコンベヤをさらに含み、ここで、基体は、さらなる基体を支持するか又は薄膜堆積のための基体であり、基体は供給ヘッドの出力面に実質的に近接し、当該方法の実施中に、ウェブのための前記コンベヤ又は供給ヘッドのためのアクチュエータが、近接を維持したまま出力面と基体の間の相対運動を提供することができる、請求項42に記載の方法。
JP2009544851A 2007-01-08 2007-12-26 堆積システム及び方法 Withdrawn JP2010515821A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/620,744 US11136667B2 (en) 2007-01-08 2007-01-08 Deposition system and method using a delivery head separated from a substrate by gas pressure
PCT/US2007/026313 WO2008085467A1 (en) 2007-01-08 2007-12-26 Deposition system and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014262975A Division JP2015078442A (ja) 2007-01-08 2014-12-25 堆積システム及び方法

Publications (2)

Publication Number Publication Date
JP2010515821A true JP2010515821A (ja) 2010-05-13
JP2010515821A5 JP2010515821A5 (ja) 2012-02-16

Family

ID=39272914

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009544851A Withdrawn JP2010515821A (ja) 2007-01-08 2007-12-26 堆積システム及び方法
JP2014262975A Pending JP2015078442A (ja) 2007-01-08 2014-12-25 堆積システム及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014262975A Pending JP2015078442A (ja) 2007-01-08 2014-12-25 堆積システム及び方法

Country Status (7)

Country Link
US (3) US11136667B2 (ja)
EP (2) EP2122005B1 (ja)
JP (2) JP2010515821A (ja)
KR (1) KR20090101918A (ja)
CN (1) CN101578391B (ja)
TW (1) TW200837214A (ja)
WO (1) WO2008085467A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010525162A (ja) * 2007-04-17 2010-07-22 ラム リサーチ コーポレーション 原子層蒸着のための装置および方法
JP2013544965A (ja) * 2010-10-16 2013-12-19 ケンブリッジ・ナノテック・インコーポレイテッド Aldコーティングシステム
JP2017504725A (ja) * 2014-01-21 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧ツール交換を可能にする原子層堆積処理チャンバ
JP2017534746A (ja) * 2014-11-04 2017-11-24 エーエスエム インターナショナル エヌ. ヴェー.ASM International N.V. 原子層堆積装置およびその装置を使用した基板処理方法

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US7875559B2 (en) * 2007-01-09 2011-01-25 Electronics And Telecommunications Research Institute Method of manufacturing P-type ZnO semiconductor layer using atomic layer deposition and thin film transistor including the P-type ZnO semiconductor layer
US20080299771A1 (en) * 2007-06-04 2008-12-04 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR20090088056A (ko) * 2008-02-14 2009-08-19 삼성전기주식회사 가스공급 유닛 및 화학기상증착 장치
US11634815B2 (en) 2008-07-03 2023-04-25 Rasirc, Inc. Method, system, and device for storage and delivery of process gas from a substrate
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
FR2956869B1 (fr) * 2010-03-01 2014-05-16 Alex Hr Roustaei Systeme de production de film flexible a haute capacite destine a des cellules photovoltaiques et oled par deposition cyclique des couches
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US20110097491A1 (en) * 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097493A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097490A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097487A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097488A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US9347987B2 (en) 2009-11-06 2016-05-24 Intel Corporation Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
NL2003836C2 (en) * 2009-11-19 2011-05-23 Levitech B V Floating wafer track with lateral stabilization mechanism.
US7998878B2 (en) * 2009-11-20 2011-08-16 Eastman Kodak Company Method for selective deposition and devices
US8168546B2 (en) 2009-11-20 2012-05-01 Eastman Kodak Company Method for selective deposition and devices
US8153529B2 (en) * 2009-11-20 2012-04-10 Eastman Kodak Company Method for selective deposition and devices
US20110120543A1 (en) * 2009-11-20 2011-05-26 Levy David H Method for selective deposition and devices
US8318249B2 (en) * 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
US20110140726A1 (en) * 2009-11-23 2011-06-16 Applied Materials, Inc. Apparatus and Methods for Measuring Solar Cell Module Performance
EP2362002A1 (en) * 2010-02-18 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Continuous patterned layer deposition
US8803203B2 (en) 2010-02-26 2014-08-12 Eastman Kodak Company Transistor including reentrant profile
US7923313B1 (en) 2010-02-26 2011-04-12 Eastman Kodak Company Method of making transistor including reentrant profile
KR20130062980A (ko) * 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
FI20105903A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
JP5369304B2 (ja) * 2010-09-30 2013-12-18 ソイテック 原子層堆積によって半導体材料を形成するためのシステム及び方法
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
WO2012094109A1 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including reduced channel length
US7985684B1 (en) 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
EP2661776A2 (en) 2011-01-07 2013-11-13 Eastman Kodak Company Transistor including multiple reentrant profiles
US8492769B2 (en) 2011-01-07 2013-07-23 Eastman Kodak Company Transistor including multi-layer reentrant profile
US8409937B2 (en) 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
CN102732861B (zh) * 2011-04-14 2014-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及具有其的化学气相沉积设备
US20130000679A1 (en) * 2011-07-01 2013-01-03 Parra-Garcia Manuel Multi-channel de-applicator
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8623757B2 (en) 2011-09-29 2014-01-07 Eastmak Kodak Company Producing a vertical transistor including reentrant profile
US8273654B1 (en) 2011-09-29 2012-09-25 Eastman Kodak Company Producing a vertical transistor including reentrant profile
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
KR20140008751A (ko) * 2012-07-11 2014-01-22 김원구 알루미늄-실리콘 화합물의 증착방법 및 그 증착장치
KR20140013726A (ko) * 2012-07-26 2014-02-05 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US8927434B2 (en) 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
US8653516B1 (en) 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US8791023B2 (en) 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8846545B2 (en) 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack
TWI470110B (zh) * 2012-09-07 2015-01-21 Manz Taiwan Ltd 用於化學沉積設備的夾固裝置
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
KR102124042B1 (ko) 2013-02-18 2020-06-18 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법
TWI644073B (zh) 2013-03-11 2018-12-11 美商應用材料股份有限公司 高溫處理室蓋體
WO2014197396A1 (en) * 2013-06-03 2014-12-11 Ultratech, Inc. Gas deposition head for spatial ald
US8946070B2 (en) 2013-06-19 2015-02-03 Eastman Kodak Company Four terminal transistor fabrication
US20140374806A1 (en) 2013-06-19 2014-12-25 Lee W. Tutt Four terminal transistor
US8937016B2 (en) 2013-06-21 2015-01-20 Eastman Kodak Company Substrate preparation for selective area deposition
US8921236B1 (en) 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
KR101530445B1 (ko) * 2013-12-23 2015-07-02 신화일렉트론 주식회사 금속 산화막 형성 장치
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
US9198283B2 (en) 2014-03-06 2015-11-24 Eastman Kodak Company Vertically spaced electrode structure
US9202898B2 (en) 2014-03-06 2015-12-01 Eastman Kodak Company Fabricating VTFT with polymer core
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
WO2015134082A1 (en) 2014-03-06 2015-09-11 Eastman Kodak Company Vtft with polymer core
US9236486B2 (en) 2014-03-06 2016-01-12 Eastman Kodak Company Offset independently operable VTFT electrodes
US9147770B1 (en) 2014-03-06 2015-09-29 Eastman Kodak Company VTFT with extended electrode
US9093470B1 (en) 2014-03-06 2015-07-28 Eastman Kodak Company VTFT formation using capillary action
US9214560B2 (en) 2014-03-06 2015-12-15 Eastman Kodak Company VTFT including overlapping electrodes
US9331205B2 (en) 2014-03-06 2016-05-03 Eastman Kodak Company VTFT with post, cap, and aligned gate
US9153698B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company VTFT with gate aligned to vertical structure
US9178029B2 (en) 2014-03-06 2015-11-03 Eastman Kodak Company Forming a VTFT gate using printing
US9153445B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company Forming a VTFT with aligned gate
US9123815B1 (en) 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9142647B1 (en) 2014-03-06 2015-09-22 Eastman Kodak Company VTFT formation using selective area deposition
US9129993B1 (en) 2014-03-06 2015-09-08 Eastman Kodak Company Forming a VTFT using printing
KR101539095B1 (ko) * 2014-05-08 2015-07-24 (주)브이앤아이솔루션 박막증착장치 및 그에 사용되는 리니어소스
US9634145B2 (en) 2014-10-29 2017-04-25 Eastman Kodak Company TFT substrate with variable dielectric thickness
US9368490B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement-depletion mode inverter with two transistor architectures
US9299853B1 (en) 2014-09-16 2016-03-29 Eastman Kodak Company Bottom gate TFT with multilayer passivation
US9328418B2 (en) 2014-09-16 2016-05-03 Eastman Kodak Company Method of forming a patterned polymer layer
US9443887B1 (en) 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
US9391210B2 (en) 2014-09-16 2016-07-12 Eastman Kodak Company Top gate TFT with polymer interface control layer
US9368491B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement mode inverter with variable thickness dielectric stack
US9620501B1 (en) 2014-09-16 2017-04-11 Eastman Kodak Company Enhancement-depletion mode circuit element with differential passivation
MX2014013233A (es) * 2014-10-30 2016-05-02 Ct Investig Materiales Avanzados Sc Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol.
US9528184B2 (en) 2015-02-13 2016-12-27 Eastman Kodak Company Atomic-layer deposition method using compound gas jet
US9506147B2 (en) 2015-02-13 2016-11-29 Eastman Kodak Company Atomic-layer deposition apparatus using compound gas jet
US9499908B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Atomic layer deposition apparatus
US9499906B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Coating substrate using bernoulli atomic-layer deposition
US9653493B2 (en) 2015-06-12 2017-05-16 Eastman Kodak Company Bottom-gate and top-gate VTFTs on common structure
US9401430B1 (en) 2015-06-12 2016-07-26 Eastman Kodak Company VTFT with a top-gate structure
JP6639657B2 (ja) 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱質量が小さい加圧チャンバ
CN108138320B (zh) 2015-10-19 2020-11-03 东芝三菱电机产业系统株式会社 成膜装置
US11168391B2 (en) * 2016-04-11 2021-11-09 Universal Display Corporation Nozzle exit contours for pattern composition
US10020327B2 (en) 2016-06-07 2018-07-10 Eastman Kodak Company Method for selective thin film deposition
US10074554B2 (en) 2016-06-27 2018-09-11 Tel Nexx, Inc. Workpiece loader for a wet processing system
FI127502B (en) * 2016-06-30 2018-07-31 Beneq Oy Method and apparatus for coating a substrate
US9859308B1 (en) 2016-07-29 2018-01-02 Eastman Kodak Company Multiple TFTs on common vertical support element
JP6568508B2 (ja) * 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9799752B1 (en) 2016-10-31 2017-10-24 Eastman Kodak Company Method for forming a thin-film transistor
US10584413B2 (en) * 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US10501848B2 (en) 2017-03-14 2019-12-10 Eastman Kodak Company Deposition system with modular deposition heads
US10895011B2 (en) 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
FI128453B (en) * 2017-10-18 2020-05-29 Beneq Oy Apparatus for processing the surface of a substrate
CN107604309B (zh) * 2017-11-06 2023-09-15 京东方科技集团股份有限公司 掩膜板贴合装置以及其贴合方法
EP3710126A4 (en) 2017-11-17 2021-08-11 Rasirc, Inc. PROCESS, SYSTEM AND DEVICE FOR STORAGE AND DISTRIBUTION OF TREATMENT GAS FROM A SUBSTRATE
US10606213B2 (en) 2017-12-12 2020-03-31 Eastman Kodak Company Embedding an optically-detectable pattern of information in an electrical element
US10354963B2 (en) 2017-12-12 2019-07-16 Eastman Kodak Company Decoding information embedded in an electronic element
US10542619B2 (en) 2017-12-12 2020-01-21 Eastman Kodak Company Electronic element with embedded information
US11588140B2 (en) * 2018-01-12 2023-02-21 Universal Display Corporation Organic vapor jet print head for depositing thin film features with high thickness uniformity
FI128427B (en) * 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
FR3084275B1 (fr) * 2018-07-30 2020-07-31 Centre Nat Rech Scient Tete et systeme compacts de depot en phase vapeur
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
CN110042364B (zh) * 2019-03-15 2021-04-06 纳晶科技股份有限公司 一种沉积装置以及沉积方法
WO2021030336A1 (en) 2019-08-12 2021-02-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
WO2021108656A1 (en) 2019-11-26 2021-06-03 Carpe Diem Technologies, Inc. Atomic layer deposition system
US20220243326A1 (en) * 2019-12-18 2022-08-04 Kevin P MUSSELMAN Apparatus and method for thin film deposition
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
EP3992328A1 (en) 2020-11-02 2022-05-04 SMIT Thermal Solutions Layer deposition device
US20230047186A1 (en) * 2021-08-13 2023-02-16 Nano-Master, Inc. Apparatus and Methods for Roll-to-Roll (R2R) Plasma Enhanced/Activated Atomic Layer Deposition (PEALD/PAALD)

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61294812A (ja) * 1985-06-24 1986-12-25 Hitachi Ltd 気相浮上エピタキシヤル成長装置
JPS62142783A (ja) * 1985-12-18 1987-06-26 Canon Inc プラズマcvd法による堆積膜形成装置
JPS62150711A (ja) * 1985-12-24 1987-07-04 Seiko Epson Corp 気相成長法
JPS64269A (en) * 1987-01-27 1989-01-05 Asahi Glass Co Ltd Gas inlet nozzle for atmospheric cvd
JPH0478130A (ja) * 1990-07-20 1992-03-12 Toshiba Corp 半導体気相成長装置
JPH11514154A (ja) * 1996-07-08 1999-11-30 アドバンスド・セミコンダクター・マテリアルズ・インターナシヨナル・エヌ・ブイ ウエーファーの形の半導体基質を無接触的に処理する方法および装置
JP2002518839A (ja) * 1998-06-16 2002-06-25 アプライド マテリアルズ インコーポレイテッド デュアルチャネル・ガス分配プレート
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004124240A (ja) * 2002-10-07 2004-04-22 Sekisui Chem Co Ltd 表面処理装置
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP2005179705A (ja) * 2003-12-17 2005-07-07 Sony Corp レーザcvd装置
JP2006005316A (ja) * 2004-06-21 2006-01-05 Seiko Epson Corp プラズマ処理装置

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3588176A (en) 1968-11-13 1971-06-28 Ibm Article transport system and method
US4226526A (en) * 1976-10-04 1980-10-07 Harry Arthur Hele Spence-Bate Transport and positioning mechanism
US4081201A (en) * 1976-12-27 1978-03-28 International Business Machines Corporation Wafer air film transportation system
SU980146A1 (ru) * 1981-06-24 1982-12-07 Предприятие П/Я А-1067 Плавающа оптическа головка
NL8103979A (nl) * 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
US4663197A (en) * 1981-08-26 1987-05-05 Integrated Automation Limited Method and apparatus for coating a substrate
NL8203318A (nl) 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
US4607167A (en) * 1982-10-19 1986-08-19 Varian Associates, Inc. Charged particle beam lithography machine incorporating localized vacuum envelope
US4594702A (en) * 1982-11-12 1986-06-10 Discovision Associates Fluid bearing for axially movable head
US4622918A (en) 1983-01-31 1986-11-18 Integrated Automation Limited Module for high vacuum processing
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4574093A (en) 1983-12-30 1986-03-04 At&T Bell Laboratories Deposition technique
JPS6221237A (ja) 1985-07-22 1987-01-29 Ulvac Corp ウエハ位置決め用テ−ブル
US4801352A (en) * 1986-12-30 1989-01-31 Image Micro Systems, Inc. Flowing gas seal enclosure for processing workpiece surface with controlled gas environment and intense laser irradiation
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
JP2832724B2 (ja) * 1989-06-16 1998-12-09 東京エレクトロン株式会社 被処理体処理装置
KR0170391B1 (ko) 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH077046B2 (ja) * 1990-09-20 1995-01-30 新技術事業団 静磁場測定装置
US5155062A (en) * 1990-12-20 1992-10-13 Cree Research, Inc. Method for silicon carbide chemical vapor deposition using levitated wafer system
US5122391A (en) 1991-03-13 1992-06-16 Watkins-Johnson Company Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
US5134963A (en) * 1991-10-28 1992-08-04 International Business Machines Corporation LPCVD reactor for high efficiency, high uniformity deposition
JPH06155398A (ja) * 1992-11-25 1994-06-03 Osaki Eng Kk Pcb加工機およびその運転方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH0992134A (ja) * 1995-09-22 1997-04-04 Dainippon Printing Co Ltd ノズル塗布方法及び装置
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5981970A (en) 1997-03-25 1999-11-09 International Business Machines Corporation Thin-film field-effect transistor with organic semiconductor requiring low operating voltages
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US5898179A (en) * 1997-09-10 1999-04-27 Orion Equipment, Inc. Method and apparatus for controlling a workpiece in a vacuum chamber
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
DE19835339A1 (de) * 1998-08-05 2000-02-17 Alfred Jaeger Luftlager, insbesondere für die Welle einer Motorspindel
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
JP3543672B2 (ja) * 1999-04-22 2004-07-14 シャープ株式会社 プラズマを用いた試料の表面処理装置
WO2000046911A1 (fr) * 1999-02-04 2000-08-10 Nikon Corporation Dispositif a moteur plat et procede d'entrainement correspondant, unite d'activation et procede d'entrainement correspondant, appareil d'exposition et procede correspondant et dispositif avec procede de fabrication correspondant
TWI242112B (en) * 1999-04-19 2005-10-21 Asml Netherlands Bv Lithographic projection apparatus and method of operating a lithographic projection apparatus
TW513617B (en) * 1999-04-21 2002-12-11 Asml Corp Lithographic projection apparatus and method of manufacturing a device using a lithographic projection apparatus
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
US6583597B2 (en) * 2000-07-07 2003-06-24 Nikon Corporation Stage apparatus including non-containing gas bearings and microlithography apparatus comprising same
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2002208563A (ja) * 2001-01-09 2002-07-26 Ebara Corp 被加工物の加工装置及び加工方法
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6764386B2 (en) * 2002-01-11 2004-07-20 Applied Materials, Inc. Air bearing-sealed micro-processing chamber
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6796054B2 (en) * 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
JP3852356B2 (ja) * 2002-03-27 2006-11-29 日本ゼオン株式会社 ディップ成形用組成物、ディップ成形品およびその製造方法
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US7064089B2 (en) 2002-12-10 2006-06-20 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus and method for plasma treatment
JP3866655B2 (ja) * 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US6888172B2 (en) 2003-04-11 2005-05-03 Eastman Kodak Company Apparatus and method for encapsulating an OLED formed on a flexible substrate
EP1498778A1 (en) * 2003-06-27 2005-01-19 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005171272A (ja) 2003-12-08 2005-06-30 Sony Corp レーザcvd装置
KR101748504B1 (ko) * 2004-01-05 2017-06-16 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
US20050172897A1 (en) * 2004-02-09 2005-08-11 Frank Jansen Barrier layer process and arrangement
US8133364B2 (en) * 2004-02-17 2012-03-13 Advanced Integration, Inc. Formation of photoconductive and photovoltaic films
DE102004015216B4 (de) * 2004-03-23 2006-07-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Modul und Verfahren für die Modifizierung von Substratoberflächen bei Atmosphärenbedingungen
EP2490248A3 (en) * 2004-04-19 2018-01-03 Nikon Corporation Exposure apparatus and device manufacturing method
EP1756663B1 (en) * 2004-06-17 2015-12-16 Nikon Corporation Fluid pressure compensation for immersion lithography lens
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
JP2006040936A (ja) * 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
TWI506674B (zh) * 2004-09-17 2015-11-01 尼康股份有限公司 Exposure apparatus, exposure method, and device manufacturing method
JP2006147773A (ja) * 2004-11-18 2006-06-08 Ebara Corp 研磨装置および研磨方法
US20060214154A1 (en) 2005-03-24 2006-09-28 Eastman Kodak Company Polymeric gate dielectrics for organic thin film transistors and methods of making the same
US7583358B2 (en) * 2005-07-25 2009-09-01 Micron Technology, Inc. Systems and methods for retrieving residual liquid during immersion lens photolithography
WO2007016689A1 (en) * 2005-08-02 2007-02-08 New Way Machine Components, Inc. Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays
US7456928B2 (en) * 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
JP2007073832A (ja) * 2005-09-08 2007-03-22 Ntt Advanced Technology Corp パタン転写装置
US20070076780A1 (en) * 2005-09-30 2007-04-05 Champetier Robert J Devices, systems and methods for determining temperature and/or optical characteristics of a substrate
JP5358057B2 (ja) 2006-02-24 2013-12-04 富士フイルム株式会社 放射線画像撮影装置及び撮影方法
ATE507320T1 (de) * 2006-03-26 2011-05-15 Lotus Applied Technology Llc Atomlagenabscheidungssystem und verfahren zur beschichtung von flexiblen substraten
US7413982B2 (en) 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097490A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097491A1 (en) * 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
CN102709731A (zh) 2012-05-04 2012-10-03 东莞高得电工器材有限公司 一种防触电的电源插座
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
US10422038B2 (en) * 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20190186012A1 (en) * 2017-12-19 2019-06-20 Eastman Kodak Company Thin-film optical device with varying layer composition

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61294812A (ja) * 1985-06-24 1986-12-25 Hitachi Ltd 気相浮上エピタキシヤル成長装置
JPS62142783A (ja) * 1985-12-18 1987-06-26 Canon Inc プラズマcvd法による堆積膜形成装置
JPS62150711A (ja) * 1985-12-24 1987-07-04 Seiko Epson Corp 気相成長法
JPS64269A (en) * 1987-01-27 1989-01-05 Asahi Glass Co Ltd Gas inlet nozzle for atmospheric cvd
JPH0478130A (ja) * 1990-07-20 1992-03-12 Toshiba Corp 半導体気相成長装置
JPH11514154A (ja) * 1996-07-08 1999-11-30 アドバンスド・セミコンダクター・マテリアルズ・インターナシヨナル・エヌ・ブイ ウエーファーの形の半導体基質を無接触的に処理する方法および装置
JP2002518839A (ja) * 1998-06-16 2002-06-25 アプライド マテリアルズ インコーポレイテッド デュアルチャネル・ガス分配プレート
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004124240A (ja) * 2002-10-07 2004-04-22 Sekisui Chem Co Ltd 表面処理装置
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP2005179705A (ja) * 2003-12-17 2005-07-07 Sony Corp レーザcvd装置
JP2006005316A (ja) * 2004-06-21 2006-01-05 Seiko Epson Corp プラズマ処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010525162A (ja) * 2007-04-17 2010-07-22 ラム リサーチ コーポレーション 原子層蒸着のための装置および方法
JP2013544965A (ja) * 2010-10-16 2013-12-19 ケンブリッジ・ナノテック・インコーポレイテッド Aldコーティングシステム
JP2017504725A (ja) * 2014-01-21 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧ツール交換を可能にする原子層堆積処理チャンバ
JP2017534746A (ja) * 2014-11-04 2017-11-24 エーエスエム インターナショナル エヌ. ヴェー.ASM International N.V. 原子層堆積装置およびその装置を使用した基板処理方法

Also Published As

Publication number Publication date
KR20090101918A (ko) 2009-09-29
EP3002346A1 (en) 2016-04-06
EP2122005B1 (en) 2015-11-11
CN101578391B (zh) 2013-07-24
WO2008085467A1 (en) 2008-07-17
EP2122005A1 (en) 2009-11-25
EP3002346B1 (en) 2018-01-24
US20090130858A1 (en) 2009-05-21
US10351954B2 (en) 2019-07-16
TW200837214A (en) 2008-09-16
JP2015078442A (ja) 2015-04-23
US20180148839A1 (en) 2018-05-31
US20170029949A1 (en) 2017-02-02
US11136667B2 (en) 2021-10-05
CN101578391A (zh) 2009-11-11

Similar Documents

Publication Publication Date Title
US10351954B2 (en) Deposition system and method using a delivery head separated from a substrate by gas pressure
US8398770B2 (en) Deposition system for thin film formation
US8182608B2 (en) Deposition system for thin film formation
JP2010515822A (ja) 堆積用供給装置
US8420168B2 (en) Delivery device for deposition
TWI419992B (zh) 用於薄膜沈積之輸送裝置
JP2010541237A (ja) 原子層堆積による薄膜トランジスタの製造方法
US20140206137A1 (en) Deposition system for thin film formation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130122

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140403

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140619

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141225

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150217

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20150302