JP2010515821A - 堆積システム及び方法 - Google Patents
堆積システム及び方法 Download PDFInfo
- Publication number
- JP2010515821A JP2010515821A JP2009544851A JP2009544851A JP2010515821A JP 2010515821 A JP2010515821 A JP 2010515821A JP 2009544851 A JP2009544851 A JP 2009544851A JP 2009544851 A JP2009544851 A JP 2009544851A JP 2010515821 A JP2010515821 A JP 2010515821A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- deposition system
- gas
- deposition
- head
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 238000000034 method Methods 0.000 title claims abstract description 72
- 230000008021 deposition Effects 0.000 title claims description 112
- 239000000758 substrate Substances 0.000 claims abstract description 234
- 238000000151 deposition Methods 0.000 claims abstract description 123
- 239000000463 material Substances 0.000 claims abstract description 122
- 238000010926 purge Methods 0.000 claims abstract description 43
- 239000010409 thin film Substances 0.000 claims abstract description 25
- 238000000427 thin-film deposition Methods 0.000 claims abstract description 24
- 238000000926 separation method Methods 0.000 claims abstract description 18
- 239000007789 gas Substances 0.000 claims description 236
- 230000033001 locomotion Effects 0.000 claims description 45
- 239000002243 precursor Substances 0.000 claims description 39
- 239000004065 semiconductor Substances 0.000 claims description 25
- 229910052751 metal Inorganic materials 0.000 claims description 21
- 239000002184 metal Substances 0.000 claims description 21
- 239000012530 fluid Substances 0.000 claims description 19
- 230000008569 process Effects 0.000 claims description 17
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 claims description 16
- 239000011261 inert gas Substances 0.000 claims description 11
- 150000001875 compounds Chemical class 0.000 claims description 9
- 238000002156 mixing Methods 0.000 claims description 9
- 239000011787 zinc oxide Substances 0.000 claims description 8
- 229910044991 metal oxide Inorganic materials 0.000 claims description 7
- 150000004706 metal oxides Chemical class 0.000 claims description 7
- 239000000203 mixture Substances 0.000 claims description 7
- 238000005339 levitation Methods 0.000 claims description 5
- 239000011343 solid material Substances 0.000 claims description 5
- 229910052760 oxygen Inorganic materials 0.000 claims description 4
- 229910052984 zinc sulfide Inorganic materials 0.000 claims description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 3
- 239000005083 Zinc sulfide Substances 0.000 claims description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 3
- DRDVZXDWVBGGMH-UHFFFAOYSA-N zinc;sulfide Chemical compound [S-2].[Zn+2] DRDVZXDWVBGGMH-UHFFFAOYSA-N 0.000 claims description 3
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 2
- 239000001301 oxygen Substances 0.000 claims description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 claims description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims description 2
- 239000007795 chemical reaction product Substances 0.000 claims 2
- AMWRITDGCCNYAT-UHFFFAOYSA-L hydroxy(oxo)manganese;manganese Chemical compound [Mn].O[Mn]=O.O[Mn]=O AMWRITDGCCNYAT-UHFFFAOYSA-L 0.000 claims 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 claims 2
- XHCLAFWTIXFWPH-UHFFFAOYSA-N [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] XHCLAFWTIXFWPH-UHFFFAOYSA-N 0.000 claims 1
- JGIATAMCQXIDNZ-UHFFFAOYSA-N calcium sulfide Chemical compound [Ca]=S JGIATAMCQXIDNZ-UHFFFAOYSA-N 0.000 claims 1
- 229910000420 cerium oxide Inorganic materials 0.000 claims 1
- 229910003437 indium oxide Inorganic materials 0.000 claims 1
- PJXISJQVUVHSOJ-UHFFFAOYSA-N indium(iii) oxide Chemical compound [O-2].[O-2].[O-2].[In+3].[In+3] PJXISJQVUVHSOJ-UHFFFAOYSA-N 0.000 claims 1
- 229910000765 intermetallic Inorganic materials 0.000 claims 1
- 229910052981 lead sulfide Inorganic materials 0.000 claims 1
- 229940056932 lead sulfide Drugs 0.000 claims 1
- 229910000476 molybdenum oxide Inorganic materials 0.000 claims 1
- ZKATWMILCYLAPD-UHFFFAOYSA-N niobium pentoxide Inorganic materials O=[Nb](=O)O[Nb](=O)=O ZKATWMILCYLAPD-UHFFFAOYSA-N 0.000 claims 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 claims 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 claims 1
- 150000002902 organometallic compounds Chemical class 0.000 claims 1
- 125000002524 organometallic group Chemical group 0.000 claims 1
- 230000003534 oscillatory effect Effects 0.000 claims 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims 1
- PQQKPALAQIIWST-UHFFFAOYSA-N oxomolybdenum Chemical compound [Mo]=O PQQKPALAQIIWST-UHFFFAOYSA-N 0.000 claims 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims 1
- 230000000737 periodic effect Effects 0.000 claims 1
- 235000012239 silicon dioxide Nutrition 0.000 claims 1
- 239000000377 silicon dioxide Substances 0.000 claims 1
- ZEGFMFQPWDMMEP-UHFFFAOYSA-N strontium;sulfide Chemical compound [S-2].[Sr+2] ZEGFMFQPWDMMEP-UHFFFAOYSA-N 0.000 claims 1
- 150000004763 sulfides Chemical class 0.000 claims 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 claims 1
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 claims 1
- 229910001887 tin oxide Inorganic materials 0.000 claims 1
- 229910001930 tungsten oxide Inorganic materials 0.000 claims 1
- 229910001935 vanadium oxide Inorganic materials 0.000 claims 1
- 229910001928 zirconium oxide Inorganic materials 0.000 claims 1
- 238000000231 atomic layer deposition Methods 0.000 description 73
- 239000000376 reactant Substances 0.000 description 41
- 238000006243 chemical reaction Methods 0.000 description 34
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 32
- 239000010408 film Substances 0.000 description 23
- 239000010410 layer Substances 0.000 description 23
- 235000012431 wafers Nutrition 0.000 description 18
- 229910052757 nitrogen Inorganic materials 0.000 description 17
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- 239000011248 coating agent Substances 0.000 description 14
- 238000000576 coating method Methods 0.000 description 14
- 230000032258 transport Effects 0.000 description 12
- 230000008901 benefit Effects 0.000 description 11
- 239000004020 conductor Substances 0.000 description 11
- 230000000694 effects Effects 0.000 description 11
- 239000000126 substance Substances 0.000 description 11
- 238000013459 approach Methods 0.000 description 10
- 239000003446 ligand Substances 0.000 description 10
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 8
- 230000008878 coupling Effects 0.000 description 8
- 238000010168 coupling process Methods 0.000 description 8
- 238000005859 coupling reaction Methods 0.000 description 8
- 230000004907 flux Effects 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 238000004891 communication Methods 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 229920006395 saturated elastomer Polymers 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 239000000243 solution Substances 0.000 description 5
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 5
- 239000012212 insulator Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 239000002800 charge carrier Substances 0.000 description 3
- 230000000295 complement effect Effects 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000006073 displacement reaction Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000000465 moulding Methods 0.000 description 3
- 239000007800 oxidant agent Substances 0.000 description 3
- 238000005192 partition Methods 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 238000006557 surface reaction Methods 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- BRDWIEOJOWJCLU-LTGWCKQJSA-N GS-441524 Chemical compound C=1C=C2C(N)=NC=NN2C=1[C@]1(C#N)O[C@H](CO)[C@@H](O)[C@H]1O BRDWIEOJOWJCLU-LTGWCKQJSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 239000012707 chemical precursor Substances 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000007667 floating Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000003754 machining Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 230000035484 reaction time Effects 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 238000013022 venting Methods 0.000 description 2
- 229910052725 zinc Inorganic materials 0.000 description 2
- 239000011701 zinc Substances 0.000 description 2
- PFNQVRZLDWYSCW-UHFFFAOYSA-N (fluoren-9-ylideneamino) n-naphthalen-1-ylcarbamate Chemical compound C12=CC=CC=C2C2=CC=CC=C2C1=NOC(=O)NC1=CC=CC2=CC=CC=C12 PFNQVRZLDWYSCW-UHFFFAOYSA-N 0.000 description 1
- WUPHOULIZUERAE-UHFFFAOYSA-N 3-(oxolan-2-yl)propanoic acid Chemical compound OC(=O)CCC1CCCO1 WUPHOULIZUERAE-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910006404 SnO 2 Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000005273 aeration Methods 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 229910052980 cadmium sulfide Inorganic materials 0.000 description 1
- 238000005234 chemical deposition Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000011437 continuous method Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 238000012864 cross contamination Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- GRPQBOKWXNIQMF-UHFFFAOYSA-N indium(3+) oxygen(2-) tin(4+) Chemical compound [Sn+4].[O-2].[In+3] GRPQBOKWXNIQMF-UHFFFAOYSA-N 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000012804 iterative process Methods 0.000 description 1
- 239000002346 layers by function Substances 0.000 description 1
- 231100000053 low toxicity Toxicity 0.000 description 1
- 239000008204 material by function Substances 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 229910052950 sphalerite Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 239000012780 transparent material Substances 0.000 description 1
- 230000007723 transport mechanism Effects 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- -1 zirconate Chemical compound 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/403—Oxides of aluminium, magnesium or beryllium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
- C23C16/45551—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
- C23C16/545—Apparatus specially adapted for continuous coating for coating elongated substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02181—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02183—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02186—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02189—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02192—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02551—Group 12/16 materials
- H01L21/02557—Sulfides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
1.MLx反応;
2.MLxパージ;
3.AHy反応;及び
4.AHyパージ、次いで段階1へ戻る。
a)複数のガス状材料にそれぞれ対応する複数の供給源であって、第1、第2及び第3のガス状材料にそれぞれ対応する第1、第2及び第3供給源を少なくとも含む複数の供給源と;
b)薄膜堆積を受ける基体にガス状材料を供給するための供給ヘッドであって、
(i)第1、第2及び第3ガス状材料をそれぞれ受容するための少なくとも第1、第2及び第3流入ポートを含む複数の流入ポートと;
(b)複数の出力開口部を含み、かつ、基体の表面から所定の距離をおいて対向する出力面;
を含む供給ヘッド、ここで、第1、第2及び第3のガス状材料は前記出力面の出力開口部から同時に排出される;
c)基体を支持するために任意選択的に用いてもよい基体支持体と;
を含み、
d)薄膜堆積中に供給ヘッドの出力面と基体表面との間で実質的に均一な距離を維持することを含み、薄膜堆積のために基体表面への供給ヘッドからのガス状材料の1つまたは2つ以上の流れにより発生した圧力が、基体の表面から供給ヘッドの出力面を分離する力の少なくとも一部を提供する、基体上に固体材料を薄膜堆積させるための堆積システムを提供する。
S−P−S−E−S−R−S−E−(S)
(この配列中の最後のセパレータプレートは図9A又は9Bには示されていない。)この配列が示すように、セパレータプレート160(S)は、側壁を形成することにより各チャネルを規定する。所要のパージガスとともに2つの反応性ガスを提供するための最小の供給集成体150、及び典型的なALD堆積のための排気チャネルは、完全な略字配列を使用して表される:
S−P−S−E1−S−R1−S−E1−S−P−S−E2−S−R2−S−E2−S−P−S−E1−S−R1−S−E1−S−P−S−E2−S−R2−S−E2−S−P−S−E1−S−R1−S−E1−S−P−S
R1及びR2は、2つの異なる反応性ガスが使用される場合に、異なる配向の反応物質プレート166を表し、E1及びE2は対応して、異なる配向の排気プレート164を表す。
本発明との比較のために、Levyらにより2006年3月29日付けで出願された「APPARATUS FOR ATOMIC LAYER DEPOSITION」と題された米国特許出願第11/392,006号明細書に開示されているような対照APALD(大気圧原子層堆積)を使用してシリコンウェハ上にAl2O3の膜を成長させた。このAPALD装置は、以下のとおりの構成で11個の出力チャネルを有するように構成されていた:
チャネル1:パージガス
チャネル2:酸化剤含有ガス
チャネル3:パージガス
チャネル4:金属前駆体含有ガス
チャネル5:パージガス
チャネル6:酸化剤含有ガス
チャネル7:パージガス
チャネル8:金属前駆体含有ガス
チャネル9:パージガス
チャネル10:酸化剤含有ガス
チャネル11:パージガス
(i)総流量2000sccm(標準立方センチメートル毎分(standard cubic centimeters per minute))でチャネル1,3,5,7,9及び11に窒素不活性パージガスを供給した。
(ii)トリメチルアルミニウム(TMA)を含有する窒素を基にするガス流をチャネル4及び8に供給した。このガス流は、300sccmの純粋窒素の流れと、室温のTMAで飽和した7sccmの窒素の流れとを混合することにより生成させた。
(iii)水蒸気を含有する窒素を基にするガス流をチャネル2,6及び10に供給した。このガス流は、300sccmの純粋窒素と、室温の水蒸気で飽和した25sccmの窒素の流れとを混合することにより生成させた。
本発明のAPALD装置を使用して、Al2O3の膜をシリコンウェハ上に成長させた。このAPLAD装置は、比較例C1の装置と同様の構成であった。膜を150℃の基体温度で成長させた。APALDコーティングヘッドに供給されたガス流は以下の通りであった:
(i)総流量3000sccmでチャネル1,3,5,7,9及び11に窒素不活性パージガスを供給した。
(ii)トリメチルアルミニウムを含有する窒素を基にするガス流をチャネル4及び8に供給した。このガス流は、約400sccmの純粋窒素の流れと、室温のTMAで飽和した3.5sccmの窒素の流れとを混合することにより生成させた。
(iii)水蒸気を含有する窒素を基にするガス流をチャネル2,6及び10に供給した。このガス流は、約350sccmの純粋窒素の流れと、室温の水蒸気で飽和した20sccmの窒素の流れとを混合することにより生成させた。
12 出力チャネル
14,16,18 ガス流入導管
20 基体
22 排気チャネル
24 排気導管
28a,28b,28c ガス供給部
30 アクチュエータ
32 供給ライン
36 出力面
50 チャンバ
52 搬送モータ
54 搬送サブシステム
56 制御論理プロセッサ
60 原子層堆積(ALD)システム
62 ウェブコンベア
64 供給ヘッド搬送
66 ウェブ基体
70 原子層堆積(ALD)システム
74 基体支持体
90 前駆体材料のための案内チャネル
91 排気案内チャネル
92 パージガスのための案内チャネル
96 基体支持体
98 ガス流体支持
100 結合プレート
102 案内チャンバ
104 入力ポート
110 ガスチャンバプレート
112,113,115 供給チャンバ
114,116 排気チャンバ
120 ガス案内プレート
122 前駆体材料のための案内チャネル
123 排気案内チャネル
130 ベースプレート
132 細長い放出チャネル
134 細長い排気チャネル
140 ガスディフューザユニット
142 ノズルプレート
143,147,149 第1、第2、第3ディフューザ通路
146 ガスディフューザプレート
148 フェイスプレート
150 供給集成体
152 細長い放出チャネル
154 細長い排気チャネル
160 セパレータプレート
162 パージプレート
164 排気プレート
166,166’ 反応物質プレート
168 アパーチャ
170 ばね
180 連続した第1排気スロット
182 連続した第2排気スロット
184 連続した第3排気スロット
A 矢印
D 距離
E 排気プレート
F1,F2,F3,F4 ガス流
I 第3不活性ガス状材料
K 方向
M 第2反応物質ガス状材料
O 第1反応物質ガス状材料
P パージプレート
R 反応物質プレート
S セパレータプレート
w1,w2 チャネル幅
X 矢印
Claims (64)
- 基体上に固体材料を薄膜堆積させるための堆積システムであって、
a)複数のガス状材料にそれぞれ対応する複数の供給源であって、第1、第2及び第3のガス状材料にそれぞれ対応する第1、第2及び第3供給源を少なくとも含む複数の供給源と;
b)薄膜堆積を受ける基体にガス状材料を供給するための供給ヘッドであって、
(i)第1、第2及び第3ガス状材料をそれぞれ受容するための少なくとも第1、第2及び第3流入ポートを含む複数の流入ポートと;
(b)複数の出力開口部を含み、かつ、基体の表面から所定の距離をおいて対向する出力面;
を含む供給ヘッド、ここで、第1、第2及び第3のガス状材料は前記出力面の出力開口部から同時に排出される;
c)基体を支持するために任意選択的に用いてもよい基体支持体と;
を含み、
d)薄膜堆積中に供給ヘッドの出力面と基体表面との間で実質的に均一な距離を維持することを含み、薄膜堆積のために基体表面への供給ヘッドからのガス状材料の1つまたは2つ以上の流れにより発生した圧力が、基体の表面から供給ヘッドの出力面を分離する力の少なくとも一部を提供する、基体上に固体材料を薄膜堆積させるための堆積システム。 - 実質的に均一な距離が、ガス状材料の1つ又は2つ以上の流れにより発生した圧力により実質的に維持され、1つ又は2つ以上のガス状材料の流量を変えることにより距離を調節することができる、請求項1に記載の堆積システム。
- 供給ヘッドに結合されたアクチュエータをさらに含んで基体の表面に沿う供給ヘッドの往復運動を提供する、請求項1に記載の堆積システム。
- 少なくとも1つの出力開口部の幅が0.05〜2mmである、請求項1に記載の堆積システム。
- 出力面が、断面で、曲率を有する、請求項1に記載の堆積システム。
- 断面で、出力開口部が四角形である、請求項1に記載の堆積システム。
- 供給ヘッドがさらに少なくとも1つの排気ポートを含む、請求項1に記載の堆積システム。
- 少なくとも1つの排気ポートによりガス状材料を再利用のために再循環させる、請求項7に記載の堆積システム。
- 基体を支持するための基体支持体をさらに含み、堆積システムは、動作中に、出力面と基体表面との間に相対運動を提供することができる、請求項1に記載の堆積装置。
- 供給ヘッドに結合されたアクチュエータをさらに含んで、出力開口部の長手方向に対して実質的に直交する方向に供給ヘッドの往復運動を提供し、それにより供給ヘッドに振動運動を提供する、請求項9に記載の堆積システム。
- 基体支持体が、供給ヘッドの出力面に沿って基体を運動させるための搬送装置を含む、請求項9に記載の堆積システム。
- 固体材料の薄膜堆積のための基体の総表面積が、供給ヘッドの出力面の表面積を超える、請求項9に記載の堆積システム。
- 基体支持体が移動ウェブを搬送する、請求項9に記載の堆積システム。
- 基体表面が、供給ヘッドの出力面から0.4mm以内の分離距離で維持される、請求項1に記載の堆積システム。
- 搬送装置により提供されるウェブの運動が連続的であり、任意選択的に往復的であってもよい、請求項13に記載の堆積システム。
- 第1、第2及び第3ガス状材料開口部の流れが薄膜堆積中に実質的に連続的である、請求項1に記載の堆積システム。
- 薄膜堆積中の供給ヘッド及び基体のためのチャンバハウジングを更に含む、請求項1に記載の堆積システム。
- 基体及び供給ヘッドが、大気に対して開放されている、請求項13に記載の堆積システム。
- ウェブ基体を供給ヘッドの出力面を通り越して移動させてウェブ基体の所定の領域にわたって薄膜を堆積させるためのコンベヤをさらに含み、ここで、ウェブ基体は、供給ヘッドの出力面に実質的に均一に近接し、堆積システムは、当該システムの動作中に、上記の近接を維持したまま出力面と基体表面の間の相対運動を提供することができる、請求項11に記載の堆積システム。
- ウェブの運動に対して直角な方向に供給ヘッドを運動させるための搬送集成体をさらに含む、請求項19に記載の堆積システム。
- 供給ヘッドの面から基体の表面を分離する圧力が、供給ヘッドの面からのガス流の全てにより実質的に等しく提供される、請求項1に記載の堆積システム。
- 一連のガス流が、供給ヘッドの出力面における排気出口により互いに分離されている、請求項1に記載の堆積システム。
- 第1及び第2反応性ガス状材料の流れが、少なくとも不活性パージガスおよび排気出口により空間的に実質的に分離されている、請求項1に記載の堆積システム。
- ガス流が、供給ヘッドの出力面における実質的に平行な細長い開口部を通して供給される、請求項1に記載の堆積システム。
- 実質的に平行な細長い開口部が実質的に同心的である、請求項24に記載の堆積システム。
- 不活性ガス流を供給するさらなる細長い開口部が、実質的に平行な細長い開口部に対して垂直である、請求項25に記載の堆積システム。
- 実質的に垂直な細長い開口部が、実質的に平行な細長い開口部の端部と供給ヘッドのエッジの外面の間に位置する、請求項26に記載の堆積システム。
- 供給ヘッドの外面と基体の間に維持される実質的に均一な距離が1mm未満である、請求項1に記載の堆積システム。
- 供給ヘッドの外面と基体の間に維持される実質的に均一な距離が500マイクロメートル未満である、請求項1に記載の堆積システム。
- 供給ヘッドの外面と基体の間に維持される実質的に均一な距離が200マイクロメートル未満である、請求項1に記載の堆積システム。
- 供給ヘッドの出力面が当該外面の総面積の少なくとも95%であるランド面積を有する、請求項1に記載の堆積システム。
- 供給ヘッドの出力面が当該外面の総面積の少なくとも85%であるランド面積を有する、請求項1に記載の堆積システム。
- 供給ヘッドの出力面が当該外面の総面積の少なくとも75%であるランド面積を有する、請求項1に記載の堆積システム。
- 細長い開口部を出るガス状材料が当該開口部の長手方向に沿って、偏差10%以内で実質的に等しい圧力を有する、請求項26に記載の堆積システム。
- 基体が、プラテンである基体ホルダ上にある、請求項1に記載の堆積システム。
- ガス流体支持によって、基体、又は、任意選択的に供給ヘッドのための支持を提供してもよい基体のための基体ホルダを浮かせ、このガス流体支持が、供給ヘッドに対向する第1表面とは反対側の基体の第2表面に対してガス圧を印加する、請求項1に記載の堆積システム。
- 基体を第1堆積部分と第2堆積部分の間でその位置を変位させずに基体の両側を薄膜体積に同時に又は逐次的にかけることができるように、前記供給ヘッドから見て基体の反対側にさらなる第2の供給ヘッドが設けられている、請求項20に記載の堆積システム。
- 処理される基体の上方の出力面が硬質であり、かつ、平面的又は非平面的である、請求項1に記載の堆積システム。
- 処理される基体の上方の出力面が可撓性であり、基体に整合する、請求項1に記載の堆積システム。
- 出力面と基体の間の分離距離を維持するのを支援する力を提供するための浮揚又は加圧要素をさらに含む、請求項1に記載の堆積システム。
- 基体ホルダが、堆積中に基体と接触し、及び/又は、基体を搬送する手段が、堆積中に基体と接触する、請求項1に記載の堆積システム。
- 薄膜材料を基体上に堆積させる方法であって、薄膜堆積システムの供給ヘッドの出力面から基体の表面に向けて一連のガス流を同時に案内することを含み、ここで、一連のガス流は、少なくとも、第1反応性ガス状材料と、不活性パージガスと、第2反応性ガス状材料とを含み、第1反応性ガス状材料は、第2反応性ガス状材料で処理された基体表面と反応することができ、ガス流のうちの1つ又は2つ以上が、供給ヘッドの面から基体の表面を分離するのに少なくとも寄与する圧力を提供する、薄膜材料を基体上に堆積させるための方法。
- ガス流が、基体に近接して位置する実質的に平行な一連の開放された細長い出力チャネルから提供され、供給ヘッドの出力面は、堆積にかけられる基体の表面から1mm以内の間隔を置いて位置する、請求項48に記載の方法。
- 基体が、間隔を置いて配置された複数の供給ヘッドにより処理される、請求項42に記載の方法。
- 基体の所与の領域が、一度に500ミリ秒未満にわたって、第1反応性ガス状材料のガス流に曝露される、請求項42に記載の方法。
- さらに、供給ヘッドと基体との間の相対運動を可能にすることを含む、請求項48に記載の方法。
- 反応性ガス状材料のうちの少なくとも1つの材料のガス流が少なくとも1sccmである、請求項42に記載の方法。
- 堆積中の基体の温度が300℃未満である、請求項48に記載の方法。
- 第1反応性ガス状材料が金属含有化合物であり、第2の反応性ガス状材料が非金属化合物である、請求項42に記載の方法。
- 金属が周期表のII,III,IV,V又はVI族の元素である、請求項49に記載の方法。
- 金属含有化合物が、300℃未満の温度で蒸発することができる有機金属化合物である、請求項49に記載の方法。
- 金属含有反応性ガス状材料が非金属の反応性ガス状材料と反応することにより、五酸化タンタル、酸化アルミニウム、酸化チタン、五酸化ニオブ、酸化ジルコニウム、酸化ハフニウム、酸化亜鉛、酸化ランタン、酸化イットリウム、酸化セリウム、酸化バナジウム、酸化モリブデン、酸化マンガン、酸化錫、酸化インジウム、酸化タングステン、二酸化ケイ素、硫化亜鉛、硫化ストロンチウム、硫化カルシウム、硫化鉛、及びこれらの混合物から選択された酸化物又は硫化物材料を形成する、請求項49に記載の方法。
- 当該方法において使用される反応性ガス状材料が周囲の空気と混合することを防止できるように、供給ヘッドの出力面の最初及び最後の出力開口部における最初及び最後のガス流が反応性ガス状材料ではない、請求項42に記載の方法。
- 前記方法が、トランジスタにおいて使用するために、基体上に半導体又は誘電体薄膜を形成するために用いられ、当該薄膜は金属酸化物系材料を含み、前記方法は、金属酸化物系材料の少なくとも1つの層を300℃以下の温度で基体上に形成することを含み、金属酸化物系材料は、有機金属前駆体化合物を含む第1反応性ガス及び反応性酸素含有ガス状材料を含む第2反応性ガスを含む少なくとも2種の反応性ガスの反応生成物である、請求項42に記載の方法。
- 基体の表面が、基体に対向する出力面の開口部について、出力面から1mm未満の距離に位置する、請求項42に記載の方法。
- 前記の近接度が0.5mm未満である、請求項55に記載の方法。
- 前記方法の実施中に、基体支持体、もしくは供給ヘッドに結合されたアクチュエータ、またはこれらの両方が、出力面と基体の表面との間に相対運動を提供することができる、請求項42に記載の方法。
- 堆積中に、供給ヘッドに振動運動を与えることをさらに含み、この振動運動は、任意選択的に、供給ヘッドの出力チャネルの長手方向に対して直交していてもよい、請求項42に記載の方法。
- 供給ヘッドの出力面に沿って基体を運動させることをさらに含み、この運動は任意選択的に一方向で連続的である、請求項42に記載の方法。
- 薄膜材料堆積の表面積が供給ヘッドの出力面の表面積を上回る、請求項42に記載の方法。
- 基体と供給ヘッドの出力面との分離距離が0.3mm以内である、請求項42に記載の方法。
- 第1、第2及び第3出力チャネルにおけるガス状材料の流れが、堆積操作中に実質的に連続的である、請求項42に記載の方法。
- 基体及び供給ヘッドが、大気に対して開放されている、請求項42に記載の方法。
- ウェブを供給ヘッドの出力面を通り越して移動させてウェブの所定の領域にわたって薄膜を堆積させるためのコンベヤをさらに含み、ここで、基体は、さらなる基体を支持するか又は薄膜堆積のための基体であり、基体は供給ヘッドの出力面に実質的に近接し、当該方法の実施中に、ウェブのための前記コンベヤ又は供給ヘッドのためのアクチュエータが、近接を維持したまま出力面と基体の間の相対運動を提供することができる、請求項42に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/620,744 US11136667B2 (en) | 2007-01-08 | 2007-01-08 | Deposition system and method using a delivery head separated from a substrate by gas pressure |
PCT/US2007/026313 WO2008085467A1 (en) | 2007-01-08 | 2007-12-26 | Deposition system and method |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014262975A Division JP2015078442A (ja) | 2007-01-08 | 2014-12-25 | 堆積システム及び方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2010515821A true JP2010515821A (ja) | 2010-05-13 |
JP2010515821A5 JP2010515821A5 (ja) | 2012-02-16 |
Family
ID=39272914
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009544851A Withdrawn JP2010515821A (ja) | 2007-01-08 | 2007-12-26 | 堆積システム及び方法 |
JP2014262975A Pending JP2015078442A (ja) | 2007-01-08 | 2014-12-25 | 堆積システム及び方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014262975A Pending JP2015078442A (ja) | 2007-01-08 | 2014-12-25 | 堆積システム及び方法 |
Country Status (7)
Country | Link |
---|---|
US (3) | US11136667B2 (ja) |
EP (2) | EP2122005B1 (ja) |
JP (2) | JP2010515821A (ja) |
KR (1) | KR20090101918A (ja) |
CN (1) | CN101578391B (ja) |
TW (1) | TW200837214A (ja) |
WO (1) | WO2008085467A1 (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010525162A (ja) * | 2007-04-17 | 2010-07-22 | ラム リサーチ コーポレーション | 原子層蒸着のための装置および方法 |
JP2013544965A (ja) * | 2010-10-16 | 2013-12-19 | ケンブリッジ・ナノテック・インコーポレイテッド | Aldコーティングシステム |
JP2017504725A (ja) * | 2014-01-21 | 2017-02-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低圧ツール交換を可能にする原子層堆積処理チャンバ |
JP2017534746A (ja) * | 2014-11-04 | 2017-11-24 | エーエスエム インターナショナル エヌ. ヴェー.ASM International N.V. | 原子層堆積装置およびその装置を使用した基板処理方法 |
Families Citing this family (174)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7573420B2 (en) * | 2007-05-14 | 2009-08-11 | Infineon Technologies Ag | RF front-end for a radar system |
US11136667B2 (en) * | 2007-01-08 | 2021-10-05 | Eastman Kodak Company | Deposition system and method using a delivery head separated from a substrate by gas pressure |
US20080166880A1 (en) * | 2007-01-08 | 2008-07-10 | Levy David H | Delivery device for deposition |
US7789961B2 (en) * | 2007-01-08 | 2010-09-07 | Eastman Kodak Company | Delivery device comprising gas diffuser for thin film deposition |
US7875559B2 (en) * | 2007-01-09 | 2011-01-25 | Electronics And Telecommunications Research Institute | Method of manufacturing P-type ZnO semiconductor layer using atomic layer deposition and thin film transistor including the P-type ZnO semiconductor layer |
US20080299771A1 (en) * | 2007-06-04 | 2008-12-04 | Irving Lyn M | Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby |
US8092599B2 (en) * | 2007-07-10 | 2012-01-10 | Veeco Instruments Inc. | Movable injectors in rotating disc gas reactors |
US8039052B2 (en) * | 2007-09-06 | 2011-10-18 | Intermolecular, Inc. | Multi-region processing system and heads |
US8182608B2 (en) * | 2007-09-26 | 2012-05-22 | Eastman Kodak Company | Deposition system for thin film formation |
US7851380B2 (en) * | 2007-09-26 | 2010-12-14 | Eastman Kodak Company | Process for atomic layer deposition |
US7572686B2 (en) * | 2007-09-26 | 2009-08-11 | Eastman Kodak Company | System for thin film deposition utilizing compensating forces |
US7972898B2 (en) * | 2007-09-26 | 2011-07-05 | Eastman Kodak Company | Process for making doped zinc oxide |
US8211231B2 (en) * | 2007-09-26 | 2012-07-03 | Eastman Kodak Company | Delivery device for deposition |
US8398770B2 (en) * | 2007-09-26 | 2013-03-19 | Eastman Kodak Company | Deposition system for thin film formation |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
KR20090088056A (ko) * | 2008-02-14 | 2009-08-19 | 삼성전기주식회사 | 가스공급 유닛 및 화학기상증착 장치 |
US11634815B2 (en) | 2008-07-03 | 2023-04-25 | Rasirc, Inc. | Method, system, and device for storage and delivery of process gas from a substrate |
US20100037824A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Plasma Reactor Having Injector |
US8470718B2 (en) | 2008-08-13 | 2013-06-25 | Synos Technology, Inc. | Vapor deposition reactor for forming thin film |
US20100037820A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Vapor Deposition Reactor |
US8770142B2 (en) * | 2008-09-17 | 2014-07-08 | Veeco Ald Inc. | Electrode for generating plasma and plasma generator |
US8851012B2 (en) * | 2008-09-17 | 2014-10-07 | Veeco Ald Inc. | Vapor deposition reactor using plasma and method for forming thin film using the same |
CN105420688B (zh) * | 2008-12-04 | 2019-01-22 | 威科仪器有限公司 | 用于化学气相沉积的进气口元件及其制造方法 |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
US8871628B2 (en) * | 2009-01-21 | 2014-10-28 | Veeco Ald Inc. | Electrode structure, device comprising the same and method for forming electrode structure |
WO2010095901A2 (en) | 2009-02-23 | 2010-08-26 | Synos Technology, Inc. | Method for forming thin film using radicals generated by plasma |
US20100221426A1 (en) * | 2009-03-02 | 2010-09-02 | Fluens Corporation | Web Substrate Deposition System |
FR2956869B1 (fr) * | 2010-03-01 | 2014-05-16 | Alex Hr Roustaei | Systeme de production de film flexible a haute capacite destine a des cellules photovoltaiques et oled par deposition cyclique des couches |
US8758512B2 (en) * | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
US8657959B2 (en) * | 2009-07-31 | 2014-02-25 | E I Du Pont De Nemours And Company | Apparatus for atomic layer deposition on a moving substrate |
US20110023775A1 (en) * | 2009-07-31 | 2011-02-03 | E.I. Du Pont De Nemours And Company | Apparatus for atomic layer deposition |
US20110076421A1 (en) * | 2009-09-30 | 2011-03-31 | Synos Technology, Inc. | Vapor deposition reactor for forming thin film on curved surface |
US20110097492A1 (en) | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold operating state management system |
US20110097489A1 (en) | 2009-10-27 | 2011-04-28 | Kerr Roger S | Distribution manifold including multiple fluid communication ports |
US20110097487A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including bonded plates |
US20110097493A1 (en) | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including non-parallel non-perpendicular slots |
US20110097488A1 (en) | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including mirrored finish plate |
US20110097494A1 (en) | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid conveyance system including flexible retaining mechanism |
US20110097490A1 (en) | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including compliant plates |
US20110097491A1 (en) | 2009-10-27 | 2011-04-28 | Levy David H | Conveyance system including opposed fluid distribution manifolds |
US9347987B2 (en) | 2009-11-06 | 2016-05-24 | Intel Corporation | Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same |
NL2003836C2 (en) * | 2009-11-19 | 2011-05-23 | Levitech B V | Floating wafer track with lateral stabilization mechanism. |
US8153529B2 (en) * | 2009-11-20 | 2012-04-10 | Eastman Kodak Company | Method for selective deposition and devices |
US20110120544A1 (en) | 2009-11-20 | 2011-05-26 | Levy David H | Deposition inhibitor composition and method of use |
WO2011062779A1 (en) | 2009-11-20 | 2011-05-26 | Eastman Kodak Company | Method for selective deposition and devices |
US8168546B2 (en) | 2009-11-20 | 2012-05-01 | Eastman Kodak Company | Method for selective deposition and devices |
US8318249B2 (en) * | 2009-11-20 | 2012-11-27 | Eastman Kodak Company | Method for selective deposition and devices |
US20110120543A1 (en) * | 2009-11-20 | 2011-05-26 | Levy David H | Method for selective deposition and devices |
US7998878B2 (en) * | 2009-11-20 | 2011-08-16 | Eastman Kodak Company | Method for selective deposition and devices |
US20110140726A1 (en) * | 2009-11-23 | 2011-06-16 | Applied Materials, Inc. | Apparatus and Methods for Measuring Solar Cell Module Performance |
EP2362002A1 (en) * | 2010-02-18 | 2011-08-31 | Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO | Continuous patterned layer deposition |
US8803203B2 (en) * | 2010-02-26 | 2014-08-12 | Eastman Kodak Company | Transistor including reentrant profile |
US7923313B1 (en) | 2010-02-26 | 2011-04-12 | Eastman Kodak Company | Method of making transistor including reentrant profile |
KR20160068986A (ko) * | 2010-07-22 | 2016-06-15 | 비코 에이엘디 인코포레이티드 | 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리 |
FI20105903A0 (fi) * | 2010-08-30 | 2010-08-30 | Beneq Oy | Laite |
US8486192B2 (en) | 2010-09-30 | 2013-07-16 | Soitec | Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods |
JP5369304B2 (ja) * | 2010-09-30 | 2013-12-18 | ソイテック | 原子層堆積によって半導体材料を形成するためのシステム及び方法 |
US8133806B1 (en) | 2010-09-30 | 2012-03-13 | S.O.I.Tec Silicon On Insulator Technologies | Systems and methods for forming semiconductor materials by atomic layer deposition |
US8771791B2 (en) | 2010-10-18 | 2014-07-08 | Veeco Ald Inc. | Deposition of layer using depositing apparatus with reciprocating susceptor |
US8383469B2 (en) | 2011-01-07 | 2013-02-26 | Eastman Kodak Company | Producing transistor including reduced channel length |
US8492769B2 (en) | 2011-01-07 | 2013-07-23 | Eastman Kodak Company | Transistor including multi-layer reentrant profile |
US8847226B2 (en) | 2011-01-07 | 2014-09-30 | Eastman Kodak Company | Transistor including multiple reentrant profiles |
US8847232B2 (en) | 2011-01-07 | 2014-09-30 | Eastman Kodak Company | Transistor including reduced channel length |
US8338291B2 (en) | 2011-01-07 | 2012-12-25 | Eastman Kodak Company | Producing transistor including multiple reentrant profiles |
US7985684B1 (en) | 2011-01-07 | 2011-07-26 | Eastman Kodak Company | Actuating transistor including reduced channel length |
CN103314445B (zh) | 2011-01-07 | 2016-03-30 | 柯达公司 | 包含多重凹入外形的晶体管 |
WO2012094109A1 (en) | 2011-01-07 | 2012-07-12 | Eastman Kodak Company | Transistor including reduced channel length |
US8409937B2 (en) | 2011-01-07 | 2013-04-02 | Eastman Kodak Company | Producing transistor including multi-layer reentrant profile |
US8304347B2 (en) | 2011-01-07 | 2012-11-06 | Eastman Kodak Company | Actuating transistor including multiple reentrant profiles |
US8840958B2 (en) | 2011-02-14 | 2014-09-23 | Veeco Ald Inc. | Combined injection module for sequentially injecting source precursor and reactant precursor |
US8877300B2 (en) | 2011-02-16 | 2014-11-04 | Veeco Ald Inc. | Atomic layer deposition using radicals of gas mixture |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
US20120222620A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use |
US20120225191A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
CN102732861B (zh) * | 2011-04-14 | 2014-12-17 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 托盘及具有其的化学气相沉积设备 |
US20130000679A1 (en) * | 2011-07-01 | 2013-01-03 | Parra-Garcia Manuel | Multi-channel de-applicator |
US8637355B2 (en) | 2011-08-26 | 2014-01-28 | Eastman Kodak Company | Actuating transistor including single layer reentrant profile |
US8617942B2 (en) | 2011-08-26 | 2013-12-31 | Eastman Kodak Company | Producing transistor including single layer reentrant profile |
US8592909B2 (en) | 2011-08-26 | 2013-11-26 | Eastman Kodak Company | Transistor including single layer reentrant profile |
US8803227B2 (en) | 2011-09-29 | 2014-08-12 | Eastman Kodak Company | Vertical transistor having reduced parasitic capacitance |
US8623757B2 (en) | 2011-09-29 | 2014-01-07 | Eastmak Kodak Company | Producing a vertical transistor including reentrant profile |
US8273654B1 (en) | 2011-09-29 | 2012-09-25 | Eastman Kodak Company | Producing a vertical transistor including reentrant profile |
US8865576B2 (en) | 2011-09-29 | 2014-10-21 | Eastman Kodak Company | Producing vertical transistor having reduced parasitic capacitance |
US8618003B2 (en) | 2011-12-05 | 2013-12-31 | Eastman Kodak Company | Method of making electronic devices using selective deposition |
US9748125B2 (en) | 2012-01-31 | 2017-08-29 | Applied Materials, Inc. | Continuous substrate processing system |
KR20140008751A (ko) * | 2012-07-11 | 2014-01-22 | 김원구 | 알루미늄-실리콘 화합물의 증착방법 및 그 증착장치 |
KR20140013726A (ko) * | 2012-07-26 | 2014-02-05 | 삼성디스플레이 주식회사 | 기상 증착 장치 및 유기 발광 표시 장치 제조 방법 |
US8846545B2 (en) | 2012-08-31 | 2014-09-30 | Eastman Kodak Company | Method of forming patterned thin film dielectric stack |
US8653516B1 (en) | 2012-08-31 | 2014-02-18 | Eastman Kodak Company | High performance thin film transistor |
US8927434B2 (en) | 2012-08-31 | 2015-01-06 | Eastman Kodak Company | Patterned thin film dielectric stack formation |
US8791023B2 (en) | 2012-08-31 | 2014-07-29 | Eastman Kodak Company | Patterned thin film dielectric layer formation |
TWI470110B (zh) * | 2012-09-07 | 2015-01-21 | Manz Taiwan Ltd | 用於化學沉積設備的夾固裝置 |
US9512519B2 (en) * | 2012-12-03 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition apparatus and method |
US9175389B2 (en) * | 2012-12-21 | 2015-11-03 | Intermolecular, Inc. | ALD process window combinatorial screening tool |
US20140205769A1 (en) * | 2013-01-22 | 2014-07-24 | Veeco Ald Inc. | Cascaded plasma reactor |
US20140206137A1 (en) * | 2013-01-23 | 2014-07-24 | David H. Levy | Deposition system for thin film formation |
KR102124042B1 (ko) | 2013-02-18 | 2020-06-18 | 삼성디스플레이 주식회사 | 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법 |
TWI644073B (zh) | 2013-03-11 | 2018-12-11 | 美商應用材料股份有限公司 | 高溫處理室蓋體 |
WO2014197396A1 (en) * | 2013-06-03 | 2014-12-11 | Ultratech, Inc. | Gas deposition head for spatial ald |
US8946070B2 (en) | 2013-06-19 | 2015-02-03 | Eastman Kodak Company | Four terminal transistor fabrication |
US20140374806A1 (en) | 2013-06-19 | 2014-12-25 | Lee W. Tutt | Four terminal transistor |
US8937016B2 (en) | 2013-06-21 | 2015-01-20 | Eastman Kodak Company | Substrate preparation for selective area deposition |
US8921236B1 (en) | 2013-06-21 | 2014-12-30 | Eastman Kodak Company | Patterning for selective area deposition |
KR102203098B1 (ko) | 2013-07-25 | 2021-01-15 | 삼성디스플레이 주식회사 | 기상 증착 장치 |
KR101530445B1 (ko) * | 2013-12-23 | 2015-07-02 | 신화일렉트론 주식회사 | 금속 산화막 형성 장치 |
KR20160111521A (ko) | 2014-01-27 | 2016-09-26 | 비코 인스트루먼츠 인코포레이티드 | 화학적 기상 증착 시스템을 위한 복합 반경들을 갖는 유지 포켓들을 구비한 웨이퍼 캐리어 |
US9142647B1 (en) | 2014-03-06 | 2015-09-22 | Eastman Kodak Company | VTFT formation using selective area deposition |
US9178029B2 (en) | 2014-03-06 | 2015-11-03 | Eastman Kodak Company | Forming a VTFT gate using printing |
US9236486B2 (en) | 2014-03-06 | 2016-01-12 | Eastman Kodak Company | Offset independently operable VTFT electrodes |
US9153445B2 (en) | 2014-03-06 | 2015-10-06 | Eastman Kodak Company | Forming a VTFT with aligned gate |
US9123815B1 (en) | 2014-03-06 | 2015-09-01 | Eastman Kodak Company | VTFTs including offset electrodes |
US9202898B2 (en) | 2014-03-06 | 2015-12-01 | Eastman Kodak Company | Fabricating VTFT with polymer core |
US9153698B2 (en) | 2014-03-06 | 2015-10-06 | Eastman Kodak Company | VTFT with gate aligned to vertical structure |
US9093470B1 (en) | 2014-03-06 | 2015-07-28 | Eastman Kodak Company | VTFT formation using capillary action |
US9214560B2 (en) | 2014-03-06 | 2015-12-15 | Eastman Kodak Company | VTFT including overlapping electrodes |
US9129993B1 (en) | 2014-03-06 | 2015-09-08 | Eastman Kodak Company | Forming a VTFT using printing |
WO2015134082A1 (en) | 2014-03-06 | 2015-09-11 | Eastman Kodak Company | Vtft with polymer core |
US9331205B2 (en) | 2014-03-06 | 2016-05-03 | Eastman Kodak Company | VTFT with post, cap, and aligned gate |
US9147770B1 (en) | 2014-03-06 | 2015-09-29 | Eastman Kodak Company | VTFT with extended electrode |
US9198283B2 (en) | 2014-03-06 | 2015-11-24 | Eastman Kodak Company | Vertically spaced electrode structure |
US9117914B1 (en) | 2014-03-06 | 2015-08-25 | Eastman Kodak Company | VTFT with polymer core |
KR101539095B1 (ko) * | 2014-05-08 | 2015-07-24 | (주)브이앤아이솔루션 | 박막증착장치 및 그에 사용되는 리니어소스 |
US9634145B2 (en) | 2014-10-29 | 2017-04-25 | Eastman Kodak Company | TFT substrate with variable dielectric thickness |
US9299853B1 (en) | 2014-09-16 | 2016-03-29 | Eastman Kodak Company | Bottom gate TFT with multilayer passivation |
US9368490B2 (en) | 2014-10-29 | 2016-06-14 | Eastman Kodak Company | Enhancement-depletion mode inverter with two transistor architectures |
US9328418B2 (en) | 2014-09-16 | 2016-05-03 | Eastman Kodak Company | Method of forming a patterned polymer layer |
US9620501B1 (en) | 2014-09-16 | 2017-04-11 | Eastman Kodak Company | Enhancement-depletion mode circuit element with differential passivation |
US9391210B2 (en) | 2014-09-16 | 2016-07-12 | Eastman Kodak Company | Top gate TFT with polymer interface control layer |
US9368491B2 (en) | 2014-10-29 | 2016-06-14 | Eastman Kodak Company | Enhancement mode inverter with variable thickness dielectric stack |
US9443887B1 (en) | 2015-06-12 | 2016-09-13 | Eastman Kodak Company | Vertical and planar TFTS on common substrate |
MX2014013233A (es) * | 2014-10-30 | 2016-05-02 | Ct Investig Materiales Avanzados Sc | Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol. |
US9506147B2 (en) | 2015-02-13 | 2016-11-29 | Eastman Kodak Company | Atomic-layer deposition apparatus using compound gas jet |
US9499906B2 (en) | 2015-02-13 | 2016-11-22 | Eastman Kodak Company | Coating substrate using bernoulli atomic-layer deposition |
US9499908B2 (en) | 2015-02-13 | 2016-11-22 | Eastman Kodak Company | Atomic layer deposition apparatus |
US9528184B2 (en) | 2015-02-13 | 2016-12-27 | Eastman Kodak Company | Atomic-layer deposition method using compound gas jet |
US9401430B1 (en) | 2015-06-12 | 2016-07-26 | Eastman Kodak Company | VTFT with a top-gate structure |
US9653493B2 (en) | 2015-06-12 | 2017-05-16 | Eastman Kodak Company | Bottom-gate and top-gate VTFTs on common structure |
KR102314667B1 (ko) * | 2015-10-04 | 2021-10-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 작은 열 질량의 가압 챔버 |
CN108138320B (zh) | 2015-10-19 | 2020-11-03 | 东芝三菱电机产业系统株式会社 | 成膜装置 |
US11168391B2 (en) * | 2016-04-11 | 2021-11-09 | Universal Display Corporation | Nozzle exit contours for pattern composition |
US10020327B2 (en) | 2016-06-07 | 2018-07-10 | Eastman Kodak Company | Method for selective thin film deposition |
US10074554B2 (en) * | 2016-06-27 | 2018-09-11 | Tel Nexx, Inc. | Workpiece loader for a wet processing system |
FI127502B (en) * | 2016-06-30 | 2018-07-31 | Beneq Oy | Method and apparatus for coating a substrate |
US9859308B1 (en) | 2016-07-29 | 2018-01-02 | Eastman Kodak Company | Multiple TFTs on common vertical support element |
JP6568508B2 (ja) * | 2016-09-14 | 2019-08-28 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
US9799752B1 (en) | 2016-10-31 | 2017-10-24 | Eastman Kodak Company | Method for forming a thin-film transistor |
US10422038B2 (en) | 2017-03-14 | 2019-09-24 | Eastman Kodak Company | Dual gas bearing substrate positioning system |
US10435788B2 (en) | 2017-03-14 | 2019-10-08 | Eastman Kodak | Deposition system with repeating motion profile |
US10584413B2 (en) * | 2017-03-14 | 2020-03-10 | Eastman Kodak Company | Vertical system with vacuum pre-loaded deposition head |
US20180265977A1 (en) | 2017-03-14 | 2018-09-20 | Eastman Kodak Company | Deposition system with vacuum pre-loaded deposition head |
US10400332B2 (en) * | 2017-03-14 | 2019-09-03 | Eastman Kodak Company | Deposition system with interlocking deposition heads |
US10895011B2 (en) | 2017-03-14 | 2021-01-19 | Eastman Kodak Company | Modular thin film deposition system |
US11248292B2 (en) | 2017-03-14 | 2022-02-15 | Eastman Kodak Company | Deposition system with moveable-position web guides |
US10501848B2 (en) | 2017-03-14 | 2019-12-10 | Eastman Kodak Company | Deposition system with modular deposition heads |
US10550476B2 (en) | 2017-03-14 | 2020-02-04 | Eastman Kodak Company | Heated gas-bearing backer |
CN107419239A (zh) * | 2017-07-28 | 2017-12-01 | 京东方科技集团股份有限公司 | 用于镀膜的喷头、设备和相应方法 |
FI128453B (en) * | 2017-10-18 | 2020-05-29 | Beneq Oy | Apparatus for processing the surface of a substrate |
CN107604309B (zh) * | 2017-11-06 | 2023-09-15 | 京东方科技集团股份有限公司 | 掩膜板贴合装置以及其贴合方法 |
EP3710126A4 (en) * | 2017-11-17 | 2021-08-11 | Rasirc, Inc. | PROCESS, SYSTEM AND DEVICE FOR STORAGE AND DISTRIBUTION OF TREATMENT GAS FROM A SUBSTRATE |
US10354963B2 (en) | 2017-12-12 | 2019-07-16 | Eastman Kodak Company | Decoding information embedded in an electronic element |
US10542619B2 (en) | 2017-12-12 | 2020-01-21 | Eastman Kodak Company | Electronic element with embedded information |
US10606213B2 (en) | 2017-12-12 | 2020-03-31 | Eastman Kodak Company | Embedding an optically-detectable pattern of information in an electrical element |
US11588140B2 (en) * | 2018-01-12 | 2023-02-21 | Universal Display Corporation | Organic vapor jet print head for depositing thin film features with high thickness uniformity |
FI128427B (en) | 2018-04-12 | 2020-05-15 | Beneq Oy | Nozzle head and device |
FR3084275B1 (fr) * | 2018-07-30 | 2020-07-31 | Centre Nat Rech Scient | Tete et systeme compacts de depot en phase vapeur |
US11306396B2 (en) * | 2018-11-30 | 2022-04-19 | Meidensha Corporation | Oxide film forming device |
CN110042364B (zh) * | 2019-03-15 | 2021-04-06 | 纳晶科技股份有限公司 | 一种沉积装置以及沉积方法 |
FI4013905T3 (fi) | 2019-08-12 | 2023-05-19 | Kurt J Lesker Company | Erittäin puhtaat olosuhteet atomimittakaavan prosessointiin |
WO2021108656A1 (en) | 2019-11-26 | 2021-06-03 | Carpe Diem Technologies, Inc. | Atomic layer deposition system |
WO2021119829A1 (en) * | 2019-12-18 | 2021-06-24 | Musselman Kevin P | Apparatus and method for thin film deposition |
JP7098677B2 (ja) | 2020-03-25 | 2022-07-11 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
EP3992328A1 (en) | 2020-11-02 | 2022-05-04 | SMIT Thermal Solutions | Layer deposition device |
US20230047186A1 (en) * | 2021-08-13 | 2023-02-16 | Nano-Master, Inc. | Apparatus and Methods for Roll-to-Roll (R2R) Plasma Enhanced/Activated Atomic Layer Deposition (PEALD/PAALD) |
CN118127487A (zh) * | 2024-03-01 | 2024-06-04 | 纳设智能装备(江苏)有限公司 | 喷淋装置及原子层沉积设备 |
Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4413022A (en) * | 1979-02-28 | 1983-11-01 | Canon Kabushiki Kaisha | Method for performing growth of compound thin films |
US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
JPS61294812A (ja) * | 1985-06-24 | 1986-12-25 | Hitachi Ltd | 気相浮上エピタキシヤル成長装置 |
JPS62142783A (ja) * | 1985-12-18 | 1987-06-26 | Canon Inc | プラズマcvd法による堆積膜形成装置 |
JPS62150711A (ja) * | 1985-12-24 | 1987-07-04 | Seiko Epson Corp | 気相成長法 |
JPS64269A (en) * | 1987-01-27 | 1989-01-05 | Asahi Glass Co Ltd | Gas inlet nozzle for atmospheric cvd |
JPH0478130A (ja) * | 1990-07-20 | 1992-03-12 | Toshiba Corp | 半導体気相成長装置 |
JPH11514154A (ja) * | 1996-07-08 | 1999-11-30 | アドバンスド・セミコンダクター・マテリアルズ・インターナシヨナル・エヌ・ブイ | ウエーファーの形の半導体基質を無接触的に処理する方法および装置 |
JP2002518839A (ja) * | 1998-06-16 | 2002-06-25 | アプライド マテリアルズ インコーポレイテッド | デュアルチャネル・ガス分配プレート |
US20040067641A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
JP2004124240A (ja) * | 2002-10-07 | 2004-04-22 | Sekisui Chem Co Ltd | 表面処理装置 |
US20040142558A1 (en) * | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
JP2005179705A (ja) * | 2003-12-17 | 2005-07-07 | Sony Corp | レーザcvd装置 |
JP2006005316A (ja) * | 2004-06-21 | 2006-01-05 | Seiko Epson Corp | プラズマ処理装置 |
Family Cites Families (111)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3588176A (en) | 1968-11-13 | 1971-06-28 | Ibm | Article transport system and method |
US4226526A (en) * | 1976-10-04 | 1980-10-07 | Harry Arthur Hele Spence-Bate | Transport and positioning mechanism |
US4081201A (en) * | 1976-12-27 | 1978-03-28 | International Business Machines Corporation | Wafer air film transportation system |
SU980146A1 (ru) * | 1981-06-24 | 1982-12-07 | Предприятие П/Я А-1067 | Плавающа оптическа головка |
NL8103979A (nl) * | 1981-08-26 | 1983-03-16 | Bok Edward | Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat. |
US4663197A (en) * | 1981-08-26 | 1987-05-05 | Integrated Automation Limited | Method and apparatus for coating a substrate |
NL8203318A (nl) * | 1982-08-24 | 1984-03-16 | Integrated Automation | Inrichting voor processing van substraten. |
US4607167A (en) * | 1982-10-19 | 1986-08-19 | Varian Associates, Inc. | Charged particle beam lithography machine incorporating localized vacuum envelope |
US4594702A (en) * | 1982-11-12 | 1986-06-10 | Discovision Associates | Fluid bearing for axially movable head |
US4622918A (en) | 1983-01-31 | 1986-11-18 | Integrated Automation Limited | Module for high vacuum processing |
JPS6074626A (ja) | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | ウエハー処理方法及び装置 |
US4574093A (en) | 1983-12-30 | 1986-03-04 | At&T Bell Laboratories | Deposition technique |
JPS6221237A (ja) | 1985-07-22 | 1987-01-29 | Ulvac Corp | ウエハ位置決め用テ−ブル |
US4801352A (en) * | 1986-12-30 | 1989-01-31 | Image Micro Systems, Inc. | Flowing gas seal enclosure for processing workpiece surface with controlled gas environment and intense laser irradiation |
US4987856A (en) * | 1989-05-22 | 1991-01-29 | Advanced Semiconductor Materials America, Inc. | High throughput multi station processor for multiple single wafers |
JP2832724B2 (ja) * | 1989-06-16 | 1998-12-09 | 東京エレクトロン株式会社 | 被処理体処理装置 |
KR0170391B1 (ko) * | 1989-06-16 | 1999-03-30 | 다카시마 히로시 | 피처리체 처리장치 및 처리방법 |
CA2016970A1 (en) | 1990-05-16 | 1991-11-16 | Prasad N. Gadgil | Inverted diffusion stagnation point flow reactor for vapor deposition of thin films |
JPH077046B2 (ja) * | 1990-09-20 | 1995-01-30 | 新技術事業団 | 静磁場測定装置 |
US5155062A (en) * | 1990-12-20 | 1992-10-13 | Cree Research, Inc. | Method for silicon carbide chemical vapor deposition using levitated wafer system |
US5122391A (en) * | 1991-03-13 | 1992-06-16 | Watkins-Johnson Company | Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD |
US5134963A (en) * | 1991-10-28 | 1992-08-04 | International Business Machines Corporation | LPCVD reactor for high efficiency, high uniformity deposition |
JPH06155398A (ja) * | 1992-11-25 | 1994-06-03 | Osaki Eng Kk | Pcb加工機およびその運転方法 |
US6200389B1 (en) * | 1994-07-18 | 2001-03-13 | Silicon Valley Group Thermal Systems Llc | Single body injector and deposition chamber |
JPH0945624A (ja) * | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
JPH0992134A (ja) * | 1995-09-22 | 1997-04-04 | Dainippon Printing Co Ltd | ノズル塗布方法及び装置 |
US6183565B1 (en) * | 1997-07-08 | 2001-02-06 | Asm International N.V | Method and apparatus for supporting a semiconductor wafer during processing |
US5981970A (en) | 1997-03-25 | 1999-11-09 | International Business Machines Corporation | Thin-film field-effect transistor with organic semiconductor requiring low operating voltages |
JPH1154496A (ja) * | 1997-08-07 | 1999-02-26 | Tokyo Electron Ltd | 熱処理装置及びガス処理装置 |
US5898179A (en) * | 1997-09-10 | 1999-04-27 | Orion Equipment, Inc. | Method and apparatus for controlling a workpiece in a vacuum chamber |
US20020011215A1 (en) * | 1997-12-12 | 2002-01-31 | Goushu Tei | Plasma treatment apparatus and method of manufacturing optical parts using the same |
US5997963A (en) * | 1998-05-05 | 1999-12-07 | Ultratech Stepper, Inc. | Microchamber |
DE19835339A1 (de) * | 1998-08-05 | 2000-02-17 | Alfred Jaeger | Luftlager, insbesondere für die Welle einer Motorspindel |
NL1010003C2 (nl) * | 1998-09-03 | 2000-03-13 | Asm Int | Reactor voorzien van verwarming. |
JP3543672B2 (ja) * | 1999-04-22 | 2004-07-14 | シャープ株式会社 | プラズマを用いた試料の表面処理装置 |
KR100855921B1 (ko) * | 1999-02-04 | 2008-09-02 | 가부시키가이샤 니콘 | 평면모터장치 및 그 구동방법, 스테이지장치 및 그구동방법, 노광장치 및 노광방법, 그리고 디바이스 및 그제조방법 |
TWI242112B (en) * | 1999-04-19 | 2005-10-21 | Asml Netherlands Bv | Lithographic projection apparatus and method of operating a lithographic projection apparatus |
TW513617B (en) * | 1999-04-21 | 2002-12-11 | Asml Corp | Lithographic projection apparatus and method of manufacturing a device using a lithographic projection apparatus |
US6358327B1 (en) * | 1999-06-29 | 2002-03-19 | Applied Materials, Inc. | Method for endpoint detection using throttle valve position |
US6780704B1 (en) * | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
US6503330B1 (en) * | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
US6863019B2 (en) * | 2000-06-13 | 2005-03-08 | Applied Materials, Inc. | Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas |
JP3578398B2 (ja) * | 2000-06-22 | 2004-10-20 | 古河スカイ株式会社 | 成膜用ガス分散プレート及びその製造方法 |
US6583597B2 (en) * | 2000-07-07 | 2003-06-24 | Nikon Corporation | Stage apparatus including non-containing gas bearings and microlithography apparatus comprising same |
KR100458982B1 (ko) * | 2000-08-09 | 2004-12-03 | 주성엔지니어링(주) | 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법 |
JP2002208563A (ja) * | 2001-01-09 | 2002-07-26 | Ebara Corp | 被加工物の加工装置及び加工方法 |
EP1361604B1 (en) * | 2001-01-22 | 2009-03-18 | Tokyo Electron Limited | Device and method for treatment |
KR100400044B1 (ko) * | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
JP3886424B2 (ja) * | 2001-08-28 | 2007-02-28 | 鹿児島日本電気株式会社 | 基板処理装置及び方法 |
US6764386B2 (en) * | 2002-01-11 | 2004-07-20 | Applied Materials, Inc. | Air bearing-sealed micro-processing chamber |
US6793733B2 (en) * | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
US6796054B2 (en) * | 2002-03-12 | 2004-09-28 | Tokyo Electron Limited | Low-pressure dryer and low-pressure drying method |
JP3852356B2 (ja) * | 2002-03-27 | 2006-11-29 | 日本ゼオン株式会社 | ディップ成形用組成物、ディップ成形品およびその製造方法 |
US20050084610A1 (en) * | 2002-08-13 | 2005-04-21 | Selitser Simon I. | Atmospheric pressure molecular layer CVD |
US7383843B2 (en) * | 2002-09-30 | 2008-06-10 | Lam Research Corporation | Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6916374B2 (en) * | 2002-10-08 | 2005-07-12 | Micron Technology, Inc. | Atomic layer deposition methods and atomic layer deposition tools |
US7064089B2 (en) | 2002-12-10 | 2006-06-20 | Semiconductor Energy Laboratory Co., Ltd. | Plasma treatment apparatus and method for plasma treatment |
JP3866655B2 (ja) * | 2002-12-26 | 2007-01-10 | 励起 渡辺 | 処理装置及び処理方法 |
US6888172B2 (en) * | 2003-04-11 | 2005-05-03 | Eastman Kodak Company | Apparatus and method for encapsulating an OLED formed on a flexible substrate |
EP1498778A1 (en) * | 2003-06-27 | 2005-01-19 | ASML Netherlands B.V. | Lithographic apparatus and device manufacturing method |
JP2005171272A (ja) | 2003-12-08 | 2005-06-30 | Sony Corp | レーザcvd装置 |
US8064044B2 (en) * | 2004-01-05 | 2011-11-22 | Nikon Corporation | Exposure apparatus, exposure method, and device producing method |
US20050172897A1 (en) * | 2004-02-09 | 2005-08-11 | Frank Jansen | Barrier layer process and arrangement |
US8133364B2 (en) * | 2004-02-17 | 2012-03-13 | Advanced Integration, Inc. | Formation of photoconductive and photovoltaic films |
DE102004015216B4 (de) * | 2004-03-23 | 2006-07-13 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Modul und Verfahren für die Modifizierung von Substratoberflächen bei Atmosphärenbedingungen |
EP2490248A3 (en) * | 2004-04-19 | 2018-01-03 | Nikon Corporation | Exposure apparatus and device manufacturing method |
EP1756663B1 (en) * | 2004-06-17 | 2015-12-16 | Nikon Corporation | Fluid pressure compensation for immersion lithography lens |
KR100614801B1 (ko) * | 2004-07-05 | 2006-08-22 | 삼성전자주식회사 | 반도체 장치의 막 형성방법 |
JP2006040936A (ja) * | 2004-07-22 | 2006-02-09 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | 絶縁膜の成膜方法および絶縁膜成膜装置 |
SG10201802153XA (en) * | 2004-09-17 | 2018-05-30 | Nikon Corp | Exposure apparatus, exposure method, and method for manufacturing device |
JP2006147773A (ja) * | 2004-11-18 | 2006-06-08 | Ebara Corp | 研磨装置および研磨方法 |
US20060214154A1 (en) | 2005-03-24 | 2006-09-28 | Eastman Kodak Company | Polymeric gate dielectrics for organic thin film transistors and methods of making the same |
US7583358B2 (en) * | 2005-07-25 | 2009-09-01 | Micron Technology, Inc. | Systems and methods for retrieving residual liquid during immersion lens photolithography |
WO2007016689A1 (en) * | 2005-08-02 | 2007-02-08 | New Way Machine Components, Inc. | Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays |
US7456928B2 (en) * | 2005-08-29 | 2008-11-25 | Micron Technology, Inc. | Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography |
JP2007073832A (ja) * | 2005-09-08 | 2007-03-22 | Ntt Advanced Technology Corp | パタン転写装置 |
US20070076780A1 (en) * | 2005-09-30 | 2007-04-05 | Champetier Robert J | Devices, systems and methods for determining temperature and/or optical characteristics of a substrate |
JP5358057B2 (ja) | 2006-02-24 | 2013-12-04 | 富士フイルム株式会社 | 放射線画像撮影装置及び撮影方法 |
US8137464B2 (en) * | 2006-03-26 | 2012-03-20 | Lotus Applied Technology, Llc | Atomic layer deposition system for coating flexible substrates |
US7413982B2 (en) * | 2006-03-29 | 2008-08-19 | Eastman Kodak Company | Process for atomic layer deposition |
US7456429B2 (en) | 2006-03-29 | 2008-11-25 | Eastman Kodak Company | Apparatus for atomic layer deposition |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US20070281089A1 (en) * | 2006-06-05 | 2007-12-06 | General Electric Company | Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects |
US7789961B2 (en) * | 2007-01-08 | 2010-09-07 | Eastman Kodak Company | Delivery device comprising gas diffuser for thin film deposition |
US11136667B2 (en) | 2007-01-08 | 2021-10-05 | Eastman Kodak Company | Deposition system and method using a delivery head separated from a substrate by gas pressure |
US20080166880A1 (en) * | 2007-01-08 | 2008-07-10 | Levy David H | Delivery device for deposition |
US8207063B2 (en) * | 2007-01-26 | 2012-06-26 | Eastman Kodak Company | Process for atomic layer deposition |
US7572686B2 (en) * | 2007-09-26 | 2009-08-11 | Eastman Kodak Company | System for thin film deposition utilizing compensating forces |
US7858144B2 (en) * | 2007-09-26 | 2010-12-28 | Eastman Kodak Company | Process for depositing organic materials |
US20090081360A1 (en) * | 2007-09-26 | 2009-03-26 | Fedorovskaya Elena A | Oled display encapsulation with the optical property |
US8182608B2 (en) * | 2007-09-26 | 2012-05-22 | Eastman Kodak Company | Deposition system for thin film formation |
US8398770B2 (en) * | 2007-09-26 | 2013-03-19 | Eastman Kodak Company | Deposition system for thin film formation |
US7851380B2 (en) * | 2007-09-26 | 2010-12-14 | Eastman Kodak Company | Process for atomic layer deposition |
US8030212B2 (en) * | 2007-09-26 | 2011-10-04 | Eastman Kodak Company | Process for selective area deposition of inorganic materials |
US8211231B2 (en) * | 2007-09-26 | 2012-07-03 | Eastman Kodak Company | Delivery device for deposition |
US20090081356A1 (en) * | 2007-09-26 | 2009-03-26 | Fedorovskaya Elena A | Process for forming thin film encapsulation layers |
US20090095222A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas spiral channel showerhead |
US20110097491A1 (en) * | 2009-10-27 | 2011-04-28 | Levy David H | Conveyance system including opposed fluid distribution manifolds |
US20110097494A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid conveyance system including flexible retaining mechanism |
US20110097487A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including bonded plates |
US20110097492A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold operating state management system |
US20110097489A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Distribution manifold including multiple fluid communication ports |
US20110097490A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including compliant plates |
US20110097493A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including non-parallel non-perpendicular slots |
US20110097488A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including mirrored finish plate |
EP2362411A1 (en) * | 2010-02-26 | 2011-08-31 | Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO | Apparatus and method for reactive ion etching |
CN102709731A (zh) | 2012-05-04 | 2012-10-03 | 东莞高得电工器材有限公司 | 一种防触电的电源插座 |
US20140206137A1 (en) * | 2013-01-23 | 2014-07-24 | David H. Levy | Deposition system for thin film formation |
US10422038B2 (en) * | 2017-03-14 | 2019-09-24 | Eastman Kodak Company | Dual gas bearing substrate positioning system |
US20190186012A1 (en) * | 2017-12-19 | 2019-06-20 | Eastman Kodak Company | Thin-film optical device with varying layer composition |
-
2007
- 2007-01-08 US US11/620,744 patent/US11136667B2/en active Active
- 2007-12-26 EP EP07868026.1A patent/EP2122005B1/en active Active
- 2007-12-26 CN CN2007800495356A patent/CN101578391B/zh active Active
- 2007-12-26 EP EP15190704.5A patent/EP3002346B1/en active Active
- 2007-12-26 KR KR1020097014140A patent/KR20090101918A/ko not_active Application Discontinuation
- 2007-12-26 JP JP2009544851A patent/JP2010515821A/ja not_active Withdrawn
- 2007-12-26 WO PCT/US2007/026313 patent/WO2008085467A1/en active Application Filing
-
2008
- 2008-01-07 TW TW097100591A patent/TW200837214A/zh unknown
-
2014
- 2014-12-25 JP JP2014262975A patent/JP2015078442A/ja active Pending
-
2016
- 2016-10-12 US US15/291,116 patent/US10351954B2/en active Active
-
2018
- 2018-01-29 US US15/881,872 patent/US20180148839A1/en not_active Abandoned
Patent Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4413022A (en) * | 1979-02-28 | 1983-11-01 | Canon Kabushiki Kaisha | Method for performing growth of compound thin films |
US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
JPS61294812A (ja) * | 1985-06-24 | 1986-12-25 | Hitachi Ltd | 気相浮上エピタキシヤル成長装置 |
JPS62142783A (ja) * | 1985-12-18 | 1987-06-26 | Canon Inc | プラズマcvd法による堆積膜形成装置 |
JPS62150711A (ja) * | 1985-12-24 | 1987-07-04 | Seiko Epson Corp | 気相成長法 |
JPS64269A (en) * | 1987-01-27 | 1989-01-05 | Asahi Glass Co Ltd | Gas inlet nozzle for atmospheric cvd |
JPH0478130A (ja) * | 1990-07-20 | 1992-03-12 | Toshiba Corp | 半導体気相成長装置 |
JPH11514154A (ja) * | 1996-07-08 | 1999-11-30 | アドバンスド・セミコンダクター・マテリアルズ・インターナシヨナル・エヌ・ブイ | ウエーファーの形の半導体基質を無接触的に処理する方法および装置 |
JP2002518839A (ja) * | 1998-06-16 | 2002-06-25 | アプライド マテリアルズ インコーポレイテッド | デュアルチャネル・ガス分配プレート |
US20040067641A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
JP2004124240A (ja) * | 2002-10-07 | 2004-04-22 | Sekisui Chem Co Ltd | 表面処理装置 |
US20040142558A1 (en) * | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
JP2005179705A (ja) * | 2003-12-17 | 2005-07-07 | Sony Corp | レーザcvd装置 |
JP2006005316A (ja) * | 2004-06-21 | 2006-01-05 | Seiko Epson Corp | プラズマ処理装置 |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010525162A (ja) * | 2007-04-17 | 2010-07-22 | ラム リサーチ コーポレーション | 原子層蒸着のための装置および方法 |
JP2013544965A (ja) * | 2010-10-16 | 2013-12-19 | ケンブリッジ・ナノテック・インコーポレイテッド | Aldコーティングシステム |
JP2017504725A (ja) * | 2014-01-21 | 2017-02-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低圧ツール交換を可能にする原子層堆積処理チャンバ |
JP2017534746A (ja) * | 2014-11-04 | 2017-11-24 | エーエスエム インターナショナル エヌ. ヴェー.ASM International N.V. | 原子層堆積装置およびその装置を使用した基板処理方法 |
Also Published As
Publication number | Publication date |
---|---|
EP2122005B1 (en) | 2015-11-11 |
KR20090101918A (ko) | 2009-09-29 |
JP2015078442A (ja) | 2015-04-23 |
US11136667B2 (en) | 2021-10-05 |
TW200837214A (en) | 2008-09-16 |
US20090130858A1 (en) | 2009-05-21 |
US20170029949A1 (en) | 2017-02-02 |
EP3002346B1 (en) | 2018-01-24 |
US10351954B2 (en) | 2019-07-16 |
CN101578391A (zh) | 2009-11-11 |
EP3002346A1 (en) | 2016-04-06 |
WO2008085467A1 (en) | 2008-07-17 |
EP2122005A1 (en) | 2009-11-25 |
CN101578391B (zh) | 2013-07-24 |
US20180148839A1 (en) | 2018-05-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10351954B2 (en) | Deposition system and method using a delivery head separated from a substrate by gas pressure | |
US8398770B2 (en) | Deposition system for thin film formation | |
US8182608B2 (en) | Deposition system for thin film formation | |
JP2010515822A (ja) | 堆積用供給装置 | |
US8420168B2 (en) | Delivery device for deposition | |
TWI419992B (zh) | 用於薄膜沈積之輸送裝置 | |
JP2010541237A (ja) | 原子層堆積による薄膜トランジスタの製造方法 | |
US20140206137A1 (en) | Deposition system for thin film formation |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20101201 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20101201 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120208 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20121015 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20121023 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130122 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130129 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130418 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20140107 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140403 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140410 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140619 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20140902 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141225 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20150217 |
|
A761 | Written withdrawal of application |
Free format text: JAPANESE INTERMEDIATE CODE: A761 Effective date: 20150302 |