JP2017504725A - 低圧ツール交換を可能にする原子層堆積処理チャンバ - Google Patents

低圧ツール交換を可能にする原子層堆積処理チャンバ Download PDF

Info

Publication number
JP2017504725A
JP2017504725A JP2016544653A JP2016544653A JP2017504725A JP 2017504725 A JP2017504725 A JP 2017504725A JP 2016544653 A JP2016544653 A JP 2016544653A JP 2016544653 A JP2016544653 A JP 2016544653A JP 2017504725 A JP2017504725 A JP 2017504725A
Authority
JP
Japan
Prior art keywords
chamber
slit valve
processing
ald
valve opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016544653A
Other languages
English (en)
Other versions
JP6713413B2 (ja
Inventor
栗田 真一
真一 栗田
ジョセフ クデラ
ジョセフ クデラ
ジョン エム ホワイト
ジョン エム ホワイト
ディーター ハース
ディーター ハース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017504725A publication Critical patent/JP2017504725A/ja
Application granted granted Critical
Publication of JP6713413B2 publication Critical patent/JP6713413B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本開示は、デバイスの製造のための原子層堆積(ALD)処理チャンバのための方法及び装置、及び同装置のガス分配プレート及びマスクを交換するための方法に関する。ALD処理チャンバは、ガス分配プレート及びマスクの除去及び交換を可能にするように構成されたスリットバルブを有する。ALD処理チャンバはまた、処理位置から及び処理位置へガス分配プレートを移動させるように動作可能なアクチュエータと、処理位置から及び処理位置へマスクを移動させるように動作可能な基板支持アセンブリを有することができる。

Description

背景
(分野)
本開示の実施形態は、概して、大面積基板を処理するための装置に関する。より具体的には、本開示の実施形態は、デバイス製造用原子層堆積(ALD)システム及び同システムのシャワーヘッドのためのインサイチュー洗浄方法に関する。
(関連技術の説明)
有機発光ダイオード(OLED)は、情報を表示するための、テレビスクリーン、コンピュータモニター、携帯電話、他のハンドヘルドデバイス等の製造に使用される。典型的なOLEDは、個別に通電することができる画素を有するマトリックスディスプレイパネルを形成するように基板上にすべてが堆積された2つの電極の間に位置する有機材料の層を含むことができる。OLEDは、一般的に、2つのガラスパネルの間に配置され、ガラスパネルの縁部は、その中にOLEDをカプセル化するためにシールされる。
OLED産業並びに基板処理技術を利用する他の産業は、湿気に敏感なデバイスを周囲の湿気への曝露から保護するために、それらをカプセル化する。材料の薄い適合(コンフォーマル)層は、カプセル化層(複数可)を通る水蒸気透過率(WVTR)を減少させる手段として提案されている。現在、商業的にこれを行っている多くの方法がある。湿気に敏感なデバイスを覆うためにALDプロセスを使用することは、これらのコーティングのコンフォーマルな性質が、他のコーティングよりも有効な湿気のバリアを提供することができるかどうかを決定するために検討されている。
ALDは、原子層エピタキシー(ALE)に基づいており、シーケンシャルサイクルで基板表面上に前駆体分子を送出するために化学吸着技術を採用している。サイクルは、第1前駆体に、その後、第2前駆体に基板表面を曝露させる。オプションで、パージガスが、前駆体の導入の間に導入されてもよい。第1及び第2前駆体は、基板表面上に膜として生成化合物を形成するために反応する。サイクルは、所望の厚さまで層を形成するために繰り返される。
ALDを実行する1つの方法は、前駆体ガスの時間分割(TS)パルスによるものである。この方法は、他の方法に比べていくつかの利点を有するが、TS−ALDの1つの欠点は、前駆体に曝露されるすべての表面(例えば、チャンバの内部)が堆積物で被覆されることである。これらの堆積物が定期的に除去されない場合、それらは最終的に剥がれ落ち剥離する傾向があり、最終的に基板上に落ち着く微粒子につながり、従って、堆積層の防湿性能が低下する。インサイチューでチャンバ表面から望ましくない堆積物を洗浄するための有効な方法がない場合は、それらのチャンバ表面は、「オフライン」で洗浄するために除去されなければならない。チャンバは、洗浄のためにチャンバ表面の取り外し及び交換を達成するために開く必要がある場合は、チャンバ内で真空が破られなくてはならず(例えば、チャンバを大気圧にする)、この真空破壊は、過度のチャンバのダウンタイムにつながる。
したがって、余分な堆積物が蓄積するチャンバの主な重要な要素の除去及び洗浄を最小限のダウンタイムで可能にする処理チャンバに対する必要性がある。
ALD処理を実行するためのチャンバが提供される。チャンバは、概して、ガス分配プレートと、ガス分配プレートと対向してチャンバ内に配置された基板支持体と、基板支持体に対してガス分配プレートを移動可能である少なくとも1つのガス分配プレートアクチュエータとを含む。
別の一実施形態では、原子層堆積(ALD)を実行するための処理システムが提供される。処理システムは、概して、ALD処理チャンバを含み、ALD処理チャンバ内の圧力は1トール以下に維持され、ALD処理チャンバは、ALD処理ツールの通過を可能にするように構成された第1スリットバルブ開口部を有する。処理システムは、ALD処理チャンバの第1スリットバルブ開口部を開閉するように動作可能な第1スリットバルブであって、第1スリットバルブは、閉じたときに、気密シールを作るように動作可能である第1スリットバルブと、ALD処理ツールの通過を可能にするように構成され、ALD処理チャンバの第1スリットバルブ開口部とアライメントされた第1スリットバルブ開口部を有する搬送チャンバを更に含む。
別の一実施形態では、原子層堆積(ALD)チャンバ内で第1処理ツールを交換するための方法が提供される。本方法は、概して、ALDチャンバ内で1トール以下の圧力を維持する工程と、搬送チャンバに接続されたALDチャンバ内のスリットバルブを開ける工程と、ALDチャンバからスリットバルブを介して搬送チャンバへ第1処理ツールを移動させる工程と、搬送チャンバからスリットバルブを介してALDチャンバ内に第2処理ツールを移動させる工程とを含む。
本開示の上述した構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本開示の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本開示は他の等しく有効な実施形態を含み得ることに留意すべきである。
本開示の特定の態様に係る例示的な処理システムを示す。 本開示の特定の態様に係る、ALD用の例示的なチャンバを示す。 本開示の特定の態様に係る、コンポーネントが洗浄に向けて準備をした位置にあるALD用の例示的なチャンバを示す。 本開示の特定の態様に係る、コンポーネントが洗浄に向けて準備をした位置にあるALD用の例示的なチャンバを示す。 本開示の特定の態様に係る、コンポーネントが洗浄に向けて準備をした位置にあるALD用の例示的なチャンバを示す。 本開示の特定の態様に係る、コンポーネントが洗浄に向けて準備をした位置にあるALD用の例示的なチャンバを示す。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態内で開示された要素を、特に説明することなく、他の実施形態で有益に利用してもよいと理解される。
詳細な説明
本開示の実施形態は、基板上に形成されたOLED上のカプセル化層として作用することができる複数の層を基板上に堆積するように動作可能な処理システムを含む。システムは、各処理チャンバが複数の層のうちの1以上を堆積させるように動作可能である複数の処理チャンバを含む。処理システムは、少なくとも1つの搬送チャンバと、少なくとも1つのロードロックチャンバを更に含む。少なくとも1つの搬送チャンバは、処理システム内の真空を破ることなく、複数の処理チャンバ間での基板の搬送を可能にする。少なくとも1つのロードロックチャンバは、処理システム内の真空を破ることなく、処理システムから基板の装填(ローディング)及び除去を可能にする。処理システムは、処理システム内の真空を破ることなく、処理チャンバ内で使用されるマスクの装填及び除去を可能にするマスクチャンバを更に含む。
本開示の実施形態は、基板に対してマスクをアライメントし、基板上にマスクを配置し、基板上に形成されたOLED上にカプセル化層を堆積させるためにCVDを実行するように動作可能である化学蒸着(CVD)処理チャンバを含む。CVD処理チャンバ内で実行されるCVD処理は、プラズマ強化CVD(PECVD)とすることができるが、本明細書に記載の実施形態は、他の種類の処理チャンバで使用してもよく、PECVD処理チャンバでの使用に限定されない。CVD処理チャンバによって堆積されるカプセル化層は、窒化ケイ素SiNを含むことができるが、本明細書に記載の実施形態は、他の種類の処理チャンバで使用してもよく、SiN CVD処理チャンバでの使用に限定されない。
本開示の実施形態は、基板に対してマスクをアライメントし、基板上にマスクを配置し、基板上に形成されたOLED上にカプセル化層を堆積させるためにALDを実行するように動作可能なALD処理チャンバを含む。ALD処理チャンバ内で実行されるALD処理は、TS−ALDとすることができるが、本明細書に記載の実施形態は、他の種類の処理チャンバで使用してもよく、TS−ALD処理チャンバでの使用に限定されない。ALD処理チャンバによって堆積されるカプセル化層は、酸化アルミニウムAlを含むことができるが、本明細書に記載の実施形態は、他の種類の処理チャンバで使用してもよく、SiN CVD処理チャンバでの使用に限定されない。
本明細書に記載の実施形態は、他の種類の堆積処理で使用してもよく、基板上に形成されたOLEDをカプセル化するための使用に限定されない。本明細書に記載の実施形態は、様々な種類、形状、及びサイズの、マスク及び基板で使用することができる。
基板は、特定のサイズ又は形状に限定されない。一態様では、用語「基板」は、任意の多角形の、正方形の、矩形の、湾曲した、又はさもなければ非円形のワークピース(例えば、フラットパネルディスプレイの製造に用いられるガラス基板など)を指す。
以下の説明では、用語「ガス」及び「複数のガス」は、特に断りのない限り、互換的に使用され、1以上の前駆体、反応剤、触媒、キャリアガス、パージガス、洗浄ガス、排出物、これらの組合せ、並びに任意の他の流体を指す。
図1は、本開示の一実施形態に係る、例示的な処理システム100を示す断面上面図である。処理システム100は、ロードロックチャンバ104、搬送チャンバ106、搬送チャンバ106内のハンドリング(例えば、ツール及び材料のハンドリング)ロボット108、第1CVD処理チャンバ110、第2CVD処理チャンバ112、制御ステーション114、ALD処理チャンバ116、及びマスクチャンバ118を含む。第1CVD処理チャンバ110、第2CVD処理チャンバ112、ALD処理チャンバ116、及び各チャンバの関連するハードウェアは、好ましくは、1以上の処理適合性材料(例えば、アルミニウム、陽極酸化アルミニウム、ニッケルめっきアルミニウム、ステンレス鋼、石英、及びそれらの組み合わせ及びそれらの合金など)から形成されている。第1CVD処理チャンバ110、第2CVD処理チャンバ112、及びALD処理チャンバ116は、コーティングされる基板の形状及び他の処理要件によって必要とされるように、円形、矩形、又は他の形状とすることができる。
搬送チャンバ106は、ロードロックチャンバ104、第1CVD処理チャンバ110、第2のCVD処理チャンバ112、ALD処理チャンバ116、及びマスクチャンバ118に隣接する側壁内に、スリットバルブ開口部121、123、125、127、129を含む。ハンドリングロボット108が配置され、スリットバルブ開口部121、123、125、127、129の各々を通して、隣接するチャンバ内に、1以上のツール(例えば、基板ハンドリングブレード)を挿入できるように構成されている。すなわち、ハンドリングロボットは、他のチャンバの各々に隣接する搬送チャンバ106の壁内のスリットバルブ開口部121、123、125、127、129を介して、ロードロックチャンバ104、第1CVD処理チャンバ110、第2CVD処理チャンバ112、ALD処理チャンバ116、マスクチャンバ118内にツールを挿入することができる。スリットバルブ開口部121、123、125、127、129は、スリットバルブ120、122、124、126、128によって選択的に開閉され、これによって基板、ツール、又は他のアイテムが、隣接するチャンバのうちの1つから挿入又は除去される場合に、隣接するチャンバの内部へのアクセスを可能にする。
搬送チャンバ106、ロードロックチャンバ104、第1CVD処理チャンバ110、第2CVD処理チャンバ112、ALD処理チャンバ116、及びマスクチャンバ118は、真空システム(例えば、真空ポンプ)と流体連通している1以上の開口部(図示せず)を含む。開口部は、様々なチャンバ内のガスのための出口を提供する。いくつかの実施形態では、チャンバは、それぞれ別個の独立した真空システムに接続されている。更に他の実施形態では、チャンバのうちのいくつかは、真空システムを共有し、一方、他のチャンバは、別個の独立した真空システムを有する。真空システムは、真空ポンプ(図示せず)及びスロットルバルブ(図示せず)を含み、これによって様々なチャンバを通してガスの流れを調節することができる。
第1CVDチャンバ110、第2CVDチャンバ112、及びALD処理チャンバ116内に配置された基板以外のマスク、マスクフレーム、及び他のアイテムは、「処理キット」と呼ぶことができる。処理キットのアイテムは、洗浄又は交換のために処理チャンバから除去することができる。搬送チャンバ106、マスクチャンバ118、第1CVD処理チャンバ110、第2CVD処理チャンバ112、及びALD処理チャンバ116は、マスク、マスクフレーム、及び他の処理キットのアイテムのそれらの間での搬送を可能にするような大きさ及び形状に作られる。すなわち、搬送チャンバ106、マスクチャンバ118、第1CVD処理チャンバ110、第2CVD処理チャンバ112、及びALD処理チャンバ116は、任意の処理キットのアイテムが、各スリットバルブ開口部121、123、125、127、129の対応するスリットバルブ120、122、124、126、128によって閉じられるスリットバルブ開口部121、123、125、127、129のすべてによって、それらのうちの任意の1つの中に完全に含まれることができるような大きさ及び形状に作られる。こうして、マスクチャンバ以外のチャンバのうちのいずれの中でも真空を破ることなく処理システムから処理キットのアイテムを除去することを可能にするエアロックとしてマスクチャンバ118を機能させながら、処理キットのアイテムは、処理システムの真空を破ることなく、除去及び交換することができる。更に、搬送チャンバ106とマスクチャンバ118との間のスリットバルブ開口部129、搬送チャンバ106とCVD処理チャンバ110、112との間のスリットバルブ開口部123、125、及び搬送チャンバ106とALD処理チャンバ116との間のスリットバルブ開口部127はすべて、搬送チャンバ106と、マスクチャンバ118、CVD処理チャンバ110、112、及びALD処理チャンバ116との間の処理キットのアイテムの搬送を可能にする大きさ及び形状に作られる。
マスクチャンバ118は、搬送チャンバ106のスリットバルブ開口部129の反対側のマスクチャンバ118の面にドア130及び出入口131を有する。出入口は、マスク及び他の処理ツールのマスクチャンバ118内外への搬送を可能にする大きさ及び形状に作られる。ドア130は、閉じられたときに、出入口131上に気密シールを形成することができる。マスクチャンバ118は、ドア130が閉じられ、かつ搬送チャンバ106に通じるスリットバルブ128が閉じられたときに、任意の処理キットのアイテムがマスクチャンバ118内に完全に含まれることを可能にする大きさ及び形状に作られる。すなわち、マスクチャンバ118は、任意の処理キットのアイテムが、搬送チャンバ106からマスクチャンバ118内に移動することができ、スリットバルブ128が、マスクチャンバ118のドア130を開けることなく閉じられることができるような大きさ及び形状に作られる。
説明を単純かつ容易にするために、処理システム100内で実行される例示的なコーティング処理をここで説明する。例示的なコーティング処理は、制御ステーション114に配置することができるコンピュータ又はコンピュータシステムとすることができる処理コントローラによって制御される。
図1を参照すると、基板の例示的な処理は、オプションで、マスクチャンバ118からマスクを取り出し、ALD処理チャンバ116内にマスクを配置するハンドリングロボット108から始まる。マスクが以前の処理からALD処理チャンバ116内に残されている場合や、同じマスクが複数の基板を処理する際に使用される場合があるので、ALD処理チャンバ116内にマスクを配置することは、オプションである。同様に、ハンドリングロボット108は、オプションで、マスクチャンバ118から他のマスクを取り出し、第1及び第2CVD処理チャンバ110及び112内にマスクを配置することができる。第1及び第2CVD処理チャンバ110、112及びALD処理チャンバ116内にマスクを配置する場合、チャンバ間の適切なスリットバルブ122、124、126、128を開閉することができる。
次に、ハンドリングロボット108は、ロードロック104から基板を取り出し、第1CVD処理チャンバ110内に基板を配置する。処理コントローラは、CVD処理を実行するために、バルブ、アクチュエータ、及び処理チャンバの他のコンポーネントを制御する。処理コントローラは、スリットバルブ122を閉鎖させ、第1CVD処理チャンバ110を搬送チャンバ106から隔離する。処理コントローラはまた、基板支持部材又はサセプタにCVD処理用基板を配置させる。マスクがハンドリングロボットによって正しい処理位置に配置されていなかった場合、処理コントローラは、マスクを位置決めするために1以上のアクチュエータを作動させることができる。代替的に又は追加的に、サセプタはまた、処理用のマスクを位置決めすることができる。マスクは、基板の特定の領域をマスクし、基板のこれらの領域に堆積が発生するのを防止するために使用される。
ここで処理コントローラは、第1CVD処理チャンバ内への前駆体ガス及び他のガスの流れを開始させるためにバルブを作動させる。前駆体ガスは、例えば、シランSiHを含むことができる。処理コントローラは、ヒーター、プラズマ放電コンポーネント、及びガスの流れを制御し、これによってCVD処理を引き起こし、基板上に材料の層を堆積させる。一実施形態では、堆積層は、窒化ケイ素(SiN)とすることができるが、本開示の実施形態は、SiNに限定されない。他の適切な材料は、SiO、SiON、及びこれらの組み合わせを含む。上述したように、本開示の実施形態はまた、PECVDを実行するために使用されてもよい。基板の例示的な処理内でのCVD処理は、堆積層が所望の厚さに到達するまで継続される。例示的な一実施形態では、所望の厚さは、5000〜10000オングストローム(500〜1000nm)である。
第1CVD処理チャンバ110内でのCVD処理が完了すると、処理コントローラは、第1CVD処理チャンバ110を排気させ、その後、搬送位置まで基板を下げるためにサセプタを制御する。処理コントローラはまた、第1CVD処理チャンバ110と搬送チャンバ106との間のスリットバルブ122を開いて、その後、第1CVD処理チャンバ110から基板を取り出すためにハンドリングロボット108に指示する。その後、処理コントローラは、第1CVD処理チャンバ110と搬送チャンバ106との間のスリットバルブ122を閉じる。
次に、処理コントローラは、搬送チャンバ106とALD処理チャンバ116との間のスリットバルブ126を開く。ハンドリングロボット108は、ALD処理チャンバ116内に基板を配置し、処理コントローラは、搬送チャンバ106とALD処理チャンバ116との間のスリットバルブ126を閉じる。処理コントローラはまた、基板支持部材又はサセプタにALD処理用基板を配置させる。マスクがハンドリングロボットによって正しい処理位置に配置されていなかった場合、処理コントローラは、マスクを位置決めするために1以上のアクチュエータを作動させることができる。代替的に又は追加的に、サセプタは、処理用のマスクを位置決めすることができる。マスクは、基板の特定の領域をマスクし、基板のこれらの領域に堆積が発生するのを防止するために使用される。
ここで処理コントローラは、ALD処理チャンバ116内への前駆体ガス及び他のガスの流れを開始させるためにバルブを作動させる。使用される特定のガス又は特定の複数のガスは、実行される処理又は複数の処理に依存する。ガスは、トリメチルアルミニウム(CHAl(TMA)、窒素N、及び酸素Oを含むことができるが、ガスはそのように限定されず、1以上の前駆体、還元剤、触媒、キャリア、パージガス、洗浄ガス、又はそれらの任意の混合物又は組み合わせを含むことができる。ガスは、一方の側からALD処理チャンバ116内に導入され、基板を横切って流れることができる。実行される処理の要件に応じて、処理コントローラは、唯一のガスが、時間の任意の特定の瞬間にALD処理チャンバ116に導入されるようにバルブを制御してもよい。
処理コントローラはまた、ガスを活性化して反応種にし、反応種に基板と反応させ、基板をコーティングさせるための反応種のプラズマを維持することができる電源を制御する。例えば、高周波(RF)又はマイクロ波(MW)ベースの電力放電技術を使用することができる。活性化はまた、熱ベースの技術、ガス絶縁破壊(ブレークダウン)技術、高強度光源(例えば、UVエネルギー)、又はX線源への曝露によって生成してもよい。例示的な処理では、酸素がプラズマに活性化され、プラズマは、基板と反応し、基板上に酸素の層を堆積させる。その後、処理コントローラは、基板を横切ってTMAに流れさせ、TMAは、基板上の酸素の層と反応し、基板上に酸化アルミニウムの層を形成する。処理コントローラは、基板上に追加の層を形成するために、酸素を流し、酸素をプラズマに活性化し、TMAを流す工程の繰り返しを引き起こす。処理コントローラは、酸化アルミニウムの堆積層が必要な厚さになるまで記載した工程の繰り返しを継続する。例示的な一実施形態では、所望の厚さは、500〜700オングストローム(50〜70nm)である。
ALD処理チャンバ116内のALD処理が完了すると、処理コントローラは、ALD処理チャンバ116を排気させ、その後、搬送位置まで基板を下げるためにサセプタを制御する。処理コントローラはまた、ALD処理チャンバ116と搬送チャンバ106との間のスリットバルブ126を開け、その後、ALD処理チャンバ116から基板を取り出すためにハンドリングロボット108に指示する。処理コントローラは、その後、ALD処理チャンバ116と搬送チャンバ106との間のスリットバルブ126を閉じる。
更に図1を参照すると、次に、処理コントローラは、搬送チャンバ106と第2CVD処理チャンバ112との間のスリットバルブ124を開ける。ハンドリングロボット108は、第2CVD処理チャンバ112内に基板を配置し、処理コントローラは、搬送チャンバ106と第2CVD処理チャンバ112との間のスリットバルブ124を閉じる。第2CVD処理チャンバ112内での処理は、上述した第1CVD処理チャンバ110内での処理と同様である。基板の例示的な処理では、第2CVD処理チャンバ112内で実行されるCVD処理は、堆積層が所望の厚さに達するまで継続される。例示的な一実施形態では、所望の厚さは、5000〜10000オングストローム(500〜1000nm)である。
こうして、第2CVD処理チャンバ112内での処理が完了すると、基板は、5000〜10000オングストロームの厚さであるSiNの第1層、500〜700オングストロームの厚さであるAlの層、及び5000〜10000オングストロームの厚さであるSiNの第2層でコーティングされる。単独のSiNと比較して、Alの層は、カプセル化層を通過する水蒸気移動速度を低下させると考えられており、こうして、単独のSiNによるカプセル化と比較して、カプセル化の信頼性を向上させる。
図1を参照して上述した例示的な処理では、CVD処理チャンバ110、112及びALD処理チャンバ116の各々は、マスクがロードされる。あるいはまた、処理システム100は、マスクが処理チャンバから処理チャンバまで基板と共に移動する処理を実行することができる。すなわち、第2の例示的な処理において、基板とマスクは、第1CVD処理チャンバ110内に(同時又は個別に)配置され、搬送チャンバ106と第1処理チャンバ110との間のスリットバルブ122は閉じられる。その後、CVD処理が、基板上で実行される。基板とマスクは、その後、ALD処理チャンバ116内に(同時又は個別に)移動され、搬送チャンバとALD処理チャンバ116との間のスリットバルブ126が閉じられる。その後、ALD処理が、基板上で実行される。その後、基板とマスクは、第2CVD処理チャンバ112内に(同時又は個別に)移動される。その後、CVD処理が、基板上で実行され、その後、基板とマスクは、第2CVD処理チャンバ112から除去される。基板は、完了した場合、処理システム100から除去することができ、マスクは、例えば、新しい基板を処理するために使用されるか、又は洗浄するために処理システム100から除去することができる。
図2は、コンポーネントが処理用の位置にある例示的なALD処理チャンバ200を示す部分断面図である。図2に示されるALD処理チャンバは、図1に示されるALD処理チャンバ116に非常に類似している。一実施形態では、処理チャンバ200は、チャンバ本体202と、蓋アセンブリ204と、基板支持アセンブリ206を含む。蓋アセンブリ204は、チャンバ本体202の上端に配置され、基板支持アセンブリ206は、少なくとも部分的にチャンバ本体202内に配置されている。
チャンバ本体202は、処理チャンバ100の内部へのアクセスを提供するために、その側壁内に形成されたスリットバルブ開口部208を含む。図1を参照して上述したように、スリットバルブ開口部208は、ハンドリングロボット(図1参照)によってチャンバ本体202の内部へのアクセスを可能にするように選択的に開閉される。
1以上の実施形態では、チャンバ本体202は、真空システム(例えば、真空ポンプ)と流体連通している1以上の開口部210を含む。開口部は、処理チャンバ内のガスのための出口を提供する。真空システムは、ALD処理に適したALD処理チャンバ内の圧力を維持するために、処理コントローラによって制御される。本開示の一実施形態では、ALD処理チャンバ内の圧力は、500〜700ミリトールの圧力に維持される。
処理チャンバ200は、バルブブロックアセンブリ212を含むことができる。バルブブロックアセンブリは、バルブのセットを含み、処理チャンバ200内への様々なガスの流れを制御する。蓋アセンブリ204は、ガス分配プレート又はシャワーヘッド242の上方にプレナム240を含むことができる。処理ガス(例えば、酸素)は、シャワーヘッド242を通って処理チャンバ200に流入する前にプレナム240に流入することができる。シャワーヘッド242は、処理要件に応じて、一貫したサイズの又は様々なサイズの任意の数の開口部(すなわち、穴)を含むことができる。他の前駆体ガス(例えば、トリメチルアルミニウム及び窒素)が貫通して流れ、中央開口部216から分配されてもよい。
更に図2を参照すると、蓋アセンブリ204は更に、蓋アセンブリ204内で反応種のプラズマを生成するための電極として機能することができる。1以上の実施形態では、電極は、電源(例えば、RF発生器)218に結合され、一方、ガス送出アセンブリは、グランドに接続される(すなわち、ガス送出アセンブリは、電極として機能する)。したがって、1以上の処理ガスのプラズマは、ガス送出アセンブリと基板支持部材又はサセプタ222との間に発生させることができる。追加的又は代替的に、プラズマは、サセプタ222とシャワーヘッド242との間で打たれ、含まれてもよい。
ガスを反応種に活性化して反応種のプラズマを維持することができる任意の電源を使用することができる。例えば、高周波(RF)又はマイクロ波(MW)ベースの電力放電技術を使用することができる。活性化はまた、熱ベースの技術、ガス絶縁破壊(ブレークダウン)技術、高強度光源(例えば、UVエネルギー)、又はX線源への曝露によって生成してもよい。
更に図2を参照すると、基板支持アセンブリ206は、少なくとも部分的にチャンバ本体202内に配置することができる。基板支持アセンブリは、チャンバ本体内での処理のために基板232を支持する基板支持部材又はサセプタ222を含むことができる。サセプタ222は、チャンバ本体の底面に形成された1以上の開口部226を通って延びるシャフト224又は複数のシャフト244を介して基板昇降機構(図示せず)に結合することができる。基板昇降機構は、シャフトの周りからの真空漏れを防止するベローズ(図示せず)によってチャンバ本体に柔軟にシールすることができる。基板昇降機構は、サセプタに、図示のような処理位置と、下側のロボットの進入位置、マスク除去位置、シャワーヘッド除去位置、及び基板搬送位置との間をチャンバ本体内で鉛直方向に移動することを可能にする。サセプタの上面が、チャンバ本体の側壁内に形成されたスリットバルブの開口部のわずかに下にあるとき、サセプタは基板搬送位置にある。
マスク230は、生産要件に応じて、堆積の位置を制御するために、処理中に基板232の上に位置することができる。処理チャンバ200は、複数のマスクアライメントシャフト228を更に含むことができる。図5及び図6に示されるように、基板支持アセンブリ206が下降するとき、マスクは、マスクアライメントシャフト上に載置されるようになることができる。マスクアライメントシャフトは、マスクが(例えば、マスクを洗浄又は変更するために)除去又は交換するときに、マスクアライメントシャフトを昇降させることができるマスク昇降機構(図示せず)に結合することができる。
1以上の他の実施形態では、サセプタ222は、基板の形状及び他の処理要件によって必要とされるような、平坦で長方形の面又は実質的に平坦で長方形の面を有する。1以上の実施形態では、基板232は、真空チャック(図示せず)、静電チャック(図示せず)、又は機械式クランプ(図示せず)を用いてサセプタに固定することができる。
更に図2を参照すると、サセプタ222は、1以上のリフトピン236を収容するためのサセプタを貫通する1以上の穴234を含むことができる。各リフトピンは、典型的には、セラミックス又はセラミックス含有材料から構成され、基板のハンドリング及び輸送のために使用される。各リフトピン236は、それらが穴234内で自由にスライドできるように取り付けられる。一態様では、各穴234には、リフトピン236が自由にスライドするのを助長するようにセラミックススリーブが並んでいる。各リフトピン236は、図4〜図6に示されるように、支持アセンブリ206が下降するとき、チャンバ本体202に接触することによって、そのそれぞれの穴234内で移動可能となる。支持アセンブリ206が下方位置にあるとき、リフトピン236の上面が、サセプタ222の基板支持面の上方に位置することができるように、支持アセンブリ206は移動可能である。逆に、支持アセンブリが上昇位置にあるとき、リフトピン236の上面は、サセプタ222の上面の下方に配置される。このように、支持アセンブリが下方位置から上方位置まで、及びその逆に移動するとき、各リフトピン236の一部は、サセプタ222内でそれぞれの穴234を通過する。
リフトピン236は、チャンバ本体202に接触するとき、基板232の下面に対して押圧し、基板をサセプタ222から持ち上げる。逆に、サセプタ222は、リフトピン236から基板232を持ち上げることができる。リフトピン236は、拡大された上端部又は円錐形ヘッドを含むことができ、これによってリフトピン236がサセプタ222から脱落するのを防止する。他のピン設計もまた利用することができ、当業者にはよく知られている。
一実施形態では、1以上のリフトピン236は、基板232が上で支持されたときにすらイドするのを防止するために、滑り止め又は高摩擦材料で作られているコーティング又は上に配置されたアタッチメントを含む。好ましい材料は、処理チャンバ200内で汚染物を作成するであろう、基板232の裏面に傷をつけたり、さもなければ損傷を与えたりすることのない耐熱性のある高分子材料である。
図2を再び参照すると、サセプタ222は、サセプタ222とシャワーヘッド242との間の距離を制御できるようにチャンバ本体202内で鉛直方向に移動させることができる。光学式又は他のセンサ(図示せず)は、チャンバ200内のサセプタ222の位置に関する情報を提供することができる。
処理チャンバ200は、1以上のシャワーヘッドアクチュエータ238を更に含むことができる。シャワーヘッドアクチュエータは、シャワーヘッド242に接続することができる。シャワーヘッドアクチュエータ238は、図6に示されるように、(例えば、洗浄又は他の目的のための)シャワーヘッド242の除去又は交換のために、シャワーヘッド242を昇降させることができる。
チャンバをオフラインにすることなく洗浄するために処理チャンバ200からマスク230及びシャワーヘッド242を除去するプロセスをここで説明する。図2を参照すると、処理チャンバは、処理位置にあるサセプタ222で始まる。除去プロセスは、シャフト(複数可)224を下げる基板昇降機構(図示せず)によって基板支持アセンブリ206を下降させることから始まる。サセプタ222は、図3に示されるように、上昇位置に残っているマスクアライメントシャフト228にマスク230が接触するまで、マスク230を下降させる。シャワーヘッドアクチュエータ238は作動されず、シャワーヘッドは処理位置に留まる。これは、「マスク落下位置」として説明することができる。
図4は、除去プロセスの次の工程を示す。図4に示されるように、基板支持アセンブリ206は、それがその最も低い位置に到達するまで、下降し続ける。これは、「ロボット侵入位置」として知られることができる。マスク230は、マスクアライメントシャフト228上に載置されたままである。ロボットブレード402又は他のロボットツールが、スリットバルブ開口部208を通って処理チャンバ200に入り、ハンドリングロボット(図1参照)によってマスクの下に配置される。ロボットブレードは、その中に複数のスロット(図示せず)を有し、それがマスクアライメントシャフト及びリフトピン236を越えて到達することを可能にする。すなわち、ロボットブレード又は他のロボットツールは、マスクアライメントシャフト及びリフトピンに影響を与えずに、ロボットブレード又は他のロボットツールをマスクの下方の処理チャンバ内に挿入することができるように、マスクアライメントシャフト及びリフトピンと整列させることができるスロットを有する。
図5は、チャンバからマスク230を除去する直前の処理チャンバ200内のアイテムの位置を示す。マスクアライメントシャフト228は、マスク昇降機構(図示せず)によって下降される。マスクアライメントシャフトが十分に低い場合、マスクは、ロボットブレード402に接触する。マスクアライメントシャフトは、それらが、マスクから完全に離れるまで引き続ける。これは、「マスク除去位置」として知られることができる。この時点で、ハンドリングロボット(図1参照)は、ロボットブレード及びマスクを引き出す。ハンドリングロボットは、処理システムからマスクを除去するために、マスクチャンバ(図1参照)内にマスクを配置することができる。その後、マスクは、処理システムの他のチャンバの真空を破ることなく、洗浄するためにマスクチャンバから除去することができる。
図6は、シャワーヘッド242を除去する前の処理チャンバ200内のアイテムの最終的な位置を示す。マスク(図6には図示せず)を除去した後、ハンドリングロボット(図1参照)は、スリットバルブ開口部208を通してロボットブレード602又は他のロボットツールを導入する。ロボットブレード602は、図4及び図5に示された同一のロボットブレード402であってもよいが、これは必須ではない。その後、シャワーヘッドアクチュエータ238が作動し、ロボットブレード上にシャワーヘッドを下げる。ロボットブレード上にシャワーヘッドを下げた後、アクチュエータは、カップリング(図示せず)により、シャワーヘッドから切断される。カップリングは、例えば、静電カップリング又は機械式カップリングとすることができる。これは「シャワーヘッド除去位置」として知られることができる。シャワーヘッドがロボットブレード上に載っていて、アクチュエータがシャワーヘッドから切断された後、ハンドリングロボットは、ロボットブレード及びシャワーヘッドを(例えば、洗浄のために)引き出すことができる。ハンドリングロボットは、処理システムからシャワーヘッドを除去するために、マスクチャンバ(図1参照)内にシャワーヘッドを配置することができる。図5を参照して説明したマスクの移動と同様に、シャワーヘッドは、処理システムの他のチャンバの真空を破ることなく洗浄するためにマスクチャンバから除去することができる。
上述のような処理システム100は、処理チャンバのいくつかにおける真空を破ることなく、処理システムからマスク、シャワーヘッド、及び他の処理ツールの除去を可能にする。こうして、CVD及び/又はALD処理に曝露されることから不要な堆積物を蓄積する可能性のある処理ツールは、処理チャンバを処理圧力(例えば、500〜700ミリトール)に維持しながら、洗浄のために処理チャンバから除去することができる。他の処理ツールもまた、処理チャンバ内の真空を破ることなく、処理チャンバ内に配置することができる。処理ツールは、処理チャンバ内の真空を破ることなく交換することができるので、真空を破ることなく交換されるそれらの処理ツールを有することができない処理チャンバと比較して、処理チャンバ内で、より迅速に処理ツールを交換後、処理を継続することができる。処理チャンバは既に排気されており、処理ツールを交換した後、大気圧(例えば、760トール)から排気(ポンプダウン)する必要がないので、処理ツールを交換した後、より迅速に処理を続けることができる。また、処理チャンバは、処理ツール交換時に、大気及び他の汚染物質に曝露されないので、処理ツール交換時の処理チャンバの汚染の機会が低減される。
図1を参照して上述した処理コントローラは、コンピュータのハードディスクドライブに記憶されたコンピュータプログラムの制御下で動作することができる。例えば、コンピュータプログラムは、処理シーケンス及びタイミング、ガスの混合、チャンバ圧力、RF電力レベル、サセプタの位置決め、スリットバルブの開閉、及び特定の処理の他のパラメータを指示することができる。
上記の説明のより良い理解を提供するために、上記の非限定的な例が提供される。例は、特定の実施形態に向けられてもよいが、例は、任意の特定の点において、本発明を限定するものとして解釈されるべきではない。
特に断らない限り、明細書及び特許請求の範囲の中で使用される、成分、特性、反応条件等の量を表す全ての数は近似値として理解されるべきである。これらの近似値は、本開示によって得ようとする所望の特性及び測定誤差に基づいており、少なくとも報告された有効数字の数に照らして、通常の丸め技術を適用することによって解釈されるべきである。また、温度、圧力、間隔、モル比、流量などを含む本明細書中で表された量のいずれも、所望の層及び粒子性能を達成するように更に最適化することができる。
上記は本開示の実施形態を対象としているが、本開示の他の及び更なる実施形態は本開示の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 原子層堆積用の処理チャンバであって、
    チャンバ内に配置されたガス分配プレートと、
    ガス分配プレートと対向してチャンバ内に配置された基板支持体と、
    ガス分配プレートに結合された少なくとも1つのガス分配プレートアクチュエータであって、アクチュエータは、基板支持体に対してガス分配プレートを移動可能であるガス分配プレートアクチュエータとを含む処理チャンバ。
  2. ガス分配プレートは、中央穴を含み、チャンバは、
    ガス分配プレート内の中心穴を通して第1ガスを送出するためにチャンバに結合された第1ガス源と、
    中央穴とは別の場所を通してチャンバに第2ガスを送出するためにチャンバに結合された第2ガス源とを含む、請求項1記載の処理チャンバ。
  3. ガス分配プレートと基板支持体との間に配置されたマスクを含む、請求項1記載の処理チャンバ。
  4. ガス分配プレートに結合されたRF電源を含む、請求項1記載の処理チャンバ。
  5. 薄膜カプセル化を実行するための処理システムであって、
    第1処理ツールの通過を可能にするように構成されたスリットバルブ開口部を有する第1処理チャンバと、
    第1処理チャンバのスリットバルブ開口部を開閉するように動作可能な第1スリットバルブであって、第1スリットバルブは、閉じたときに、気密シールを作るように動作可能である第1スリットバルブと、
    第2処理ツールの通過を可能にするように構成されたスリットバルブ開口部を有する第2処理チャンバと、
    第2処理チャンバのスリットバルブ開口部を開閉するように動作可能な第2スリットバルブであって、第2スリットバルブは、閉じたときに、気密シールを作るように動作可能である第2スリットバルブと、
    原子層堆積(ALD)処理チャンバであって、ALD処理チャンバ内の圧力は1トール以下に維持され、ALD処理チャンバは、ALD処理ツールの通過を可能にするように構成されたスリットバルブ開口部を有するALD処理チャンバと、
    ALD処理チャンバのスリットバルブ開口部を開閉するように動作可能な第3スリットバルブであって、第3スリットバルブは、閉じたときに、気密シールを作るように動作可能である第3スリットバルブと、
    第1処理ツール、第2処理ツール、及びALD処理ツールの通過を可能にするように構成された搬送スリットバルブ開口部と、第1処理ツール、第2処理ツール、及びALD処理ツールの通過を可能にするように構成された装填出入口とを有するマスクチャンバと、
    マスクチャンバの搬送スリットバルブ開口部を開閉するように動作可能な第4スリットバルブであって、第4スリットバルブは、閉じたときに、気密シールを作るように動作可能である第4スリットバルブと、
    搬送スリットバルブ開口部及び装填スリットバルブ開口部を有するロードロックチャンバと、
    ロードロックチャンバの搬送スリットバルブ開口部を開閉するように動作可能な第5スリットバルブであって、第5スリットバルブは、閉じたときに、気密シールを作るように動作可能である第5スリットバルブと、
    ロードロックチャンバの装填スリットバルブ開口部を開閉するように動作可能な第6スリットバルブであって、第6スリットバルブは、閉じたときに、気密シールを作るように動作可能である第6スリットバルブと、
    マスクチャンバの装填出入口を開閉するように動作可能なドアであって、ドアは、閉じたときに、気密シールを作るように動作可能であるドアと、
    処理ツールの通過を可能にするように構成され、第1処理チャンバのスリットバルブ開口部とアライメントされた第1スリットバルブ開口部と、処理ツールの通過を可能にするように構成され、第2処理チャンバのスリットバルブ開口部とアライメントされた第2スリットバルブ開口部と、ALD処理ツールの通過を可能にするように構成され、ALD処理チャンバのスリットバルブ開口部とアライメントされた第3スリットバルブ開口部と、ロードロックチャンバの搬送スリットバルブ開口部とアライメントされた第4スリットバルブ開口部と、ALD処理ツール及び非ALD処理ツールの通過を可能にするように構成され、マスクチャンバの搬送スリットバルブ開口部とアライメントされた第5スリットバルブ開口部とを有する搬送チャンバとを含む処理システム。
  6. ALD処理ツールは、第1ガス分配プレート及び第2ガス分配プレートを含む、請求項5記載の処理システム。
  7. ALDチャンバから搬送チャンバへ第3スリットバルブ開口部を介して第1ガス分配プレートを移動させ、搬送チャンバからALDチャンバへ第3スリットバルブ開口部を介して第2ガス分配プレートを移動させるように動作可能なロボットツールを含む、請求項6記載の処理システム。
  8. ALDチャンバ内の処理位置から第1ガス分配プレートを除去し、ALDチャンバ内の処理位置に第2ガス分配プレートを移動させるように動作可能な少なくとも1つのガス分配プレートアクチュエータを含む、請求項7記載の処理システム。
  9. ALD処理ツールは、第1マスク及び第2マスクを含む、請求項5記載の処理システム。
  10. ALDチャンバから搬送チャンバへ第3スリットバルブ開口部を介して第1マスクを移動させ、搬送チャンバからALDチャンバへ第3スリットバルブ開口部を介して第2マスクを移動させるように動作可能なロボットツールを含む、請求項9記載の処理システム。
  11. 第1処理チャンバは、プラズマ強化化学蒸着(PE−CVD)チャンバを含む、請求項5記載の処理システム。
  12. 第1処理ツールは、ガス分配プレートを含む、請求項11記載の処理システム。
  13. 第1処理チャンバから搬送チャンバへ第1スリットバルブ開口部を介して、及び搬送チャンバからALD処理チャンバへ第3スリットバルブ開口部を介して基板及びマスクを移動させるように動作可能なロボットツールを含む、請求項5記載の処理システム。
  14. ロボットツールは、ALD処理チャンバから搬送チャンバへ第3スリットバルブ開口部を介して、及び搬送チャンバから第2処理チャンバへ第2スリットバルブ開口部を介して基板及びマスクを移動させるように動作可能である、請求項13記載の処理システム。
  15. ロボットツールは、搬送チャンバからロードロックチャンバへ第4スリットバルブ開口部を介して基板を移動させ、搬送チャンバからマスクチャンバへ第5スリットバルブ開口部を介してマスクを移動させるように動作可能である、請求項14記載の処理システム。
JP2016544653A 2014-01-21 2015-01-20 低圧ツール交換を可能にする原子層堆積処理チャンバ Active JP6713413B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201461929786P 2014-01-21 2014-01-21
US61/929,786 2014-01-21
US201462075794P 2014-11-05 2014-11-05
US62/075,794 2014-11-05
US201462076342P 2014-11-06 2014-11-06
US62/076,342 2014-11-06
PCT/US2015/011945 WO2015112467A1 (en) 2014-01-21 2015-01-20 Atomic layer deposition processing chamber permitting low-pressure tool replacement

Publications (2)

Publication Number Publication Date
JP2017504725A true JP2017504725A (ja) 2017-02-09
JP6713413B2 JP6713413B2 (ja) 2020-06-24

Family

ID=53681861

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016544061A Active JP6495301B2 (ja) 2014-01-21 2015-01-20 低圧ツール交換を可能にする薄膜カプセル化処理システム及び処理キット
JP2016544653A Active JP6713413B2 (ja) 2014-01-21 2015-01-20 低圧ツール交換を可能にする原子層堆積処理チャンバ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016544061A Active JP6495301B2 (ja) 2014-01-21 2015-01-20 低圧ツール交換を可能にする薄膜カプセル化処理システム及び処理キット

Country Status (6)

Country Link
US (2) US10184179B2 (ja)
JP (2) JP6495301B2 (ja)
KR (3) KR102458230B1 (ja)
CN (2) CN105934837B (ja)
TW (2) TWI641066B (ja)
WO (2) WO2015112470A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020026845A1 (ja) * 2018-08-02 2020-02-06 東京エレクトロン株式会社 成膜装置
CN114008241A (zh) * 2019-04-25 2022-02-01 Beneq有限公司 用于原子层沉积(ald)的设备和方法
WO2023074260A1 (ja) * 2021-10-29 2023-05-04 東京エレクトロン株式会社 プラズマ処理システム及びプラズマ処理装置

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6056673B2 (ja) * 2013-06-14 2017-01-11 東京エレクトロン株式会社 ガス処理装置
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2017137660A1 (en) * 2016-02-10 2017-08-17 Beneq Oy An apparatus for atomic layer deposition
US20170352562A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Dodecadon transfer chamber and processing system having the same
JP6723116B2 (ja) * 2016-08-31 2020-07-15 株式会社日本製鋼所 原子層成長装置および原子層成長方法
CN109964331B (zh) * 2016-12-02 2021-09-03 应用材料公司 薄膜封装处理系统和工艺配件
US11251019B2 (en) 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US10679827B2 (en) * 2017-01-25 2020-06-09 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity
WO2018153421A2 (en) 2017-02-24 2018-08-30 Flexucell Aps Light emitting transducer
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
DE102017105374A1 (de) 2017-03-14 2018-09-20 Aixtron Se Vorrichtung zum Abscheiden einer strukturierten Schicht auf einem Substrat sowie Verfahren zum Einrichten der Vorrichtung
DE102017105379A1 (de) 2017-03-14 2018-09-20 Aixtron Se Substrathalteranordnung mit Maskenträger
US10312475B2 (en) * 2017-05-15 2019-06-04 Applied Materials, Inc. CVD thin film stress control method for display application
KR20230133948A (ko) 2017-06-21 2023-09-19 피코순 오와이 기판 프로세싱 장치를 위한 유체 유입 조립체
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US11655536B2 (en) * 2018-03-20 2023-05-23 Sharp Kabushiki Kaisha Film forming mask and method of manufacturing display device using same
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10697062B2 (en) 2018-07-11 2020-06-30 Applied Materials, Inc. Gas flow guide design for uniform flow distribution and efficient purge
KR102412341B1 (ko) * 2019-06-25 2022-06-23 피코순 오와이 기판 후면 보호
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US20230137506A1 (en) * 2020-08-21 2023-05-04 Applied Materials, Inc. Processing system for processing a flexible substrate and method of measuring at least one of a property of a flexible substrate and a property of one or more coatings on the flexible substrate
US11664327B2 (en) * 2020-11-17 2023-05-30 STATS ChipPAC Pte. Ltd. Selective EMI shielding using preformed mask
WO2022165484A1 (en) * 2021-01-27 2022-08-04 Eugenus, Inc. Precursor delivery system and method for cyclic deposition
KR20240046597A (ko) * 2021-08-25 2024-04-09 어플라이드 머티어리얼스, 인코포레이티드 반응기 프레임들과 정합된 탄성 물체들을 사용한 프로세스 가스 봉쇄

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330387A (ja) * 1995-03-30 1996-12-13 Nec Corp 半導体用製造装置
JP3140111U (ja) * 2007-12-21 2008-03-13 日本エー・エス・エム株式会社 半導体製造装置用ガス供給装置
JP2010515821A (ja) * 2007-01-08 2010-05-13 イーストマン コダック カンパニー 堆積システム及び方法
WO2012039310A1 (ja) * 2010-09-22 2012-03-29 株式会社アルバック 有機el素子の製造方法、成膜装置、有機el素子

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4648106A (en) * 1984-11-21 1987-03-03 Micronix Corporation Gas control for X-ray lithographic system
JPS63119233A (ja) * 1986-11-07 1988-05-23 Hitachi Ltd X線転写装置
JP3140111B2 (ja) 1991-11-19 2001-03-05 オリンパス光学工業株式会社 高倍率顕微鏡対物レンズ
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP4776054B2 (ja) * 2000-02-04 2011-09-21 株式会社デンソー 原子層成長による薄膜形成方法
KR100494970B1 (ko) 2002-12-03 2005-06-13 병호 최 광원자층 선택증착장치
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
WO2010051233A2 (en) 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
JP5139253B2 (ja) 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US20100186671A1 (en) * 2009-01-23 2010-07-29 Applied Materials, Inc. Arrangement for working substrates by means of plasma
KR101569796B1 (ko) * 2009-06-23 2015-11-20 주성엔지니어링(주) 기판 정렬 장치, 이를 포함하는 기판 처리 장치 및 기판 정렬 방법
WO2011112587A1 (en) * 2010-03-09 2011-09-15 First Solar, Inc. Deposition chamber cleaning system and method
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR101853265B1 (ko) * 2011-03-15 2018-05-02 삼성디스플레이 주식회사 증착 마스크
CN103597625B (zh) * 2011-06-17 2017-07-11 应用材料公司 用于有机发光二极管处理的化学气相沉积掩模对准
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
KR20140129231A (ko) * 2012-02-21 2014-11-06 어플라이드 머티어리얼스, 인코포레이티드 원자 층 증착 리소그래피
US20130239889A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Valve purge assembly for semiconductor manufacturing tools
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330387A (ja) * 1995-03-30 1996-12-13 Nec Corp 半導体用製造装置
JP2010515821A (ja) * 2007-01-08 2010-05-13 イーストマン コダック カンパニー 堆積システム及び方法
JP3140111U (ja) * 2007-12-21 2008-03-13 日本エー・エス・エム株式会社 半導体製造装置用ガス供給装置
WO2012039310A1 (ja) * 2010-09-22 2012-03-29 株式会社アルバック 有機el素子の製造方法、成膜装置、有機el素子

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020026845A1 (ja) * 2018-08-02 2020-02-06 東京エレクトロン株式会社 成膜装置
JPWO2020026845A1 (ja) * 2018-08-02 2021-08-05 東京エレクトロン株式会社 成膜装置
JP7046188B2 (ja) 2018-08-02 2022-04-01 東京エレクトロン株式会社 成膜装置
US11414754B2 (en) 2018-08-02 2022-08-16 Tokyo Electron Limited Film forming apparatus
CN114008241A (zh) * 2019-04-25 2022-02-01 Beneq有限公司 用于原子层沉积(ald)的设备和方法
CN114008241B (zh) * 2019-04-25 2024-01-26 青岛四方思锐智能技术有限公司 用于原子层沉积(ald)的设备和方法
WO2023074260A1 (ja) * 2021-10-29 2023-05-04 東京エレクトロン株式会社 プラズマ処理システム及びプラズマ処理装置

Also Published As

Publication number Publication date
US20160319422A1 (en) 2016-11-03
KR20210144933A (ko) 2021-11-30
KR102302720B1 (ko) 2021-09-14
JP6713413B2 (ja) 2020-06-24
CN105934837A (zh) 2016-09-07
US10184179B2 (en) 2019-01-22
TW201539617A (zh) 2015-10-16
TWI641066B (zh) 2018-11-11
US20160362788A1 (en) 2016-12-15
WO2015112470A1 (en) 2015-07-30
CN106415876A (zh) 2017-02-15
CN106415876B (zh) 2018-06-26
KR102330725B1 (ko) 2021-11-23
TW201542861A (zh) 2015-11-16
KR20160111962A (ko) 2016-09-27
JP2017505987A (ja) 2017-02-23
WO2015112467A1 (en) 2015-07-30
KR20160111963A (ko) 2016-09-27
JP6495301B2 (ja) 2019-04-03
TWI670389B (zh) 2019-09-01
CN105934837B (zh) 2018-12-28
KR102458230B1 (ko) 2022-10-21

Similar Documents

Publication Publication Date Title
JP6713413B2 (ja) 低圧ツール交換を可能にする原子層堆積処理チャンバ
KR101764048B1 (ko) 성막 장치
US11306393B2 (en) Methods and apparatus for ALD processes
TWI737868B (zh) 成膜裝置及成膜方法
JP7369166B2 (ja) 薄膜封止処理システムおよびプロセスキット
KR101573689B1 (ko) 원자층 증착장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180119

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180807

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190618

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190918

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200519

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200603

R150 Certificate of patent or registration of utility model

Ref document number: 6713413

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250