TW201539617A - 允許低壓汰渙工具之薄膜封裝處理系統及製程套組 - Google Patents

允許低壓汰渙工具之薄膜封裝處理系統及製程套組 Download PDF

Info

Publication number
TW201539617A
TW201539617A TW104101824A TW104101824A TW201539617A TW 201539617 A TW201539617 A TW 201539617A TW 104101824 A TW104101824 A TW 104101824A TW 104101824 A TW104101824 A TW 104101824A TW 201539617 A TW201539617 A TW 201539617A
Authority
TW
Taiwan
Prior art keywords
window
process kit
frame
mask
seal
Prior art date
Application number
TW104101824A
Other languages
English (en)
Other versions
TWI641066B (zh
Inventor
Shinichi Kurita
Jozef Kudela
John M White
Dieter Haas
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201539617A publication Critical patent/TW201539617A/zh
Application granted granted Critical
Publication of TWI641066B publication Critical patent/TWI641066B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本揭露書是有關於用於一薄膜封裝(Thin Film Encapsulation,TFE)之方法及設備。提供一種用於TFE之製程套組。製程套組係包括一窗口、一平行於窗口之遮罩及一框之一組件。製程套組更包括用以將製程氣體流進窗口與遮罩間之空間的一入口通道、用以將廢氣從窗口與遮罩間之空間排出的一出口通道,以及用以防止製程氣體與廢氣流動至不期望之位置的密封件。提供一種執行TFE的方法,包括將一基板放置在上述製程套組之遮罩下方、使製程氣體流入製程套組內,以及藉由一能量源之方法將一些製程氣體在一處理腔室內激活成反應物種。

Description

允許低壓汰換工具之薄膜封裝處理系統及製程套組
本揭露書之實施例廣泛地有關於一種用於處理大面積基板之設備。更特別地,本揭露書之實施例是有關於一種用於元件製造之原子層沉積(Atomic Layer Deposition,ALD)系統,以及其之氣體噴頭(showerhead)的原位清潔方法。
有機發光二極體(Organic Light Emitting Diodes,OLED)係在電視螢幕、電腦螢幕、行動電話或其他用以顯示資訊的攜帶型元件等當中使用。傳統的OLED可包括位於兩電極之間的有機材料層,此兩電極在某種程度上皆係設置在一基板上方,以形成具有可被單獨驅動之畫素之矩陣顯示面板。OLED通常係放置在兩塊玻璃面板之間,且玻璃面板的邊緣是密封的,以將OLED封進內部。
OLED產業及其他利用基板處理技術的產業必須將濕敏(moisture-sensitive)元件封入,以保護它們免於接觸周遭環境的濕氣。已提出一種薄型的共形層(conformal layer)材料作為減少 水氣穿透率(Water Vapor Transmission Rate,WVTR)透過封裝層的方式。近來,已有許多商業化的方式來封裝元件。使用ALD製程來覆蓋濕敏元件正被考慮,以確定這些塗層的共形性質是否可較其他塗層提供更有效的濕氣屏障。
ALD係基於原子層磊晶(Atomic Layer Epitaxy,ALE),並採用化學吸附技術,以在連續的循環中提供前驅分子於基板表面上。循環使基板表面暴露至一第一前驅物,接著暴露至一第二前驅物。可選擇地,一沖洗用氣體可被導入於前驅物的導引(introduction)之間。第一及第二前驅物反應以形成一產物化合物,作為基板表面上的一薄膜。循環係反覆進行,以使層形成所需的厚度。
執行ALD的一種方法係藉由前驅氣體的時間間隔(Time-Separated,TS)脈衝。此方法和其他方法相較之下具有多項優勢,然而,TS-ALD的一項缺點在於暴露至前驅物的每個表面(例如腔室的內部)將會被沉積物所塗覆。倘若此些沉積物沒有定期移除,它們最終將會有剝落以及脫落的趨勢,導致微粒最終形成在基板上,因而降低了沉積層的濕氣屏障表現。倘若沒有有效的方式從腔室表面的原位清潔掉不必要的沉積物,則這些腔室表面必須被清除,以「離線(off-line)」清潔。倘若腔室必須被開啟,以完成移除以及更換腔室表面來清潔,則必須破壞腔室中的真空(例如使腔室處於大氣壓力),而此真空的破壞將導致過多的腔室停機時間(down-time)。
因此,有必要提出一種處理腔室,此種處理腔室允許將會在極小的停機時間累積外來沉積物之腔室之主要關鍵元件之移除以及清潔。
提供一種在一ALD腔室中使用的製程套組。製程套組一般包括一窗口、一遮罩及一框,遮罩平行於窗口設置,框連接窗口及遮罩。框具有至少一入口通道,其連接框之一第一外表面與框之一第一內表面,其中第一內表面係位於窗口與遮罩之間。框也具有至少一出口通道,其連接框之一第二外表面與框之一第二內表面,其中框之第二內表面係位於窗口與遮罩之間。
在另一實施例中,提出一種用於執行ALD之處理系統。此處理系統一般包括一ALD處理腔室,其中在ALD處理腔室中之壓力係維持在一托(torr)或更低,且ALD處理腔室具有一第一狹縫閥開口,以允許一製程套組進出。此處理系統更包括一第一狹縫閥、一入口歧管、一出口歧管及一個或多個差動泵及清洗組件,第一狹縫閥可操作來開啟及關閉ALD處理腔室之第一狹縫閥開口,其中當關閉時,第一狹縫閥可操作來產生一氣密封口,入口歧管可操作來抵壓一製程套組之密封件,且能夠使氣體流入製程套組之一入口通道,出口歧管可操作來抵壓製程套組之密封件,且能夠使氣體從製程套組之一出口通道流出,一個或多個差動泵及清洗組件可操作來抵壓製程套組之密封件,且將氣體排出製程套組之外。
在另一實施例中,提供一種用於執行ALD之方法。此方法一般包括定位一基板及一製程套組於一ALD處理腔室之內,其中此製程套組包括一窗口、一遮罩及一框,遮罩平行於窗口設置,框連接窗口及遮罩。框具有至少一入口通道,其連接框之一第一外表面與框之一第一內表面,其中第一內表面係位於窗口與遮罩之間。框也具有至少一出口通道,其連接框之一第二外表面與框之一第二內表面,其中框之第二內表面係位於窗口與遮罩之間。定位製程套組於ALD處理腔室之步驟一般包括使圍繞於製程套組之一入口通道之一開口的密封件抵壓於ALD處理腔室之一入口歧管、使圍繞於製程套組之一出口通道之一開口的密封件抵壓於ALD處理腔室之一出口歧管,以及使製程套組之其他密封件抵壓於ALD處理腔室之差動泵及清洗組件。此方法更包括使製程氣體經由入口歧管流入製程套組內,並將廢氣經由出口歧管排出製程套組之外。
為了對本揭露書之上述特徵有更詳細的瞭解,揭露書之更為詳細的描述(以上簡要概括之)可參照實施例,若干的實施例係繪示於所附的圖式中。然而,應注意的是,所附之圖式僅有繪示此揭露書代表性的實施例,因此不應視為範圍的限制,本揭露書可以允許其他等效的實施例。
100‧‧‧處理系統
104‧‧‧負載鎖定腔室
106‧‧‧轉移腔室
108‧‧‧搬運機器人
110‧‧‧第一CVD處理腔室
112‧‧‧第二CVD處理腔室
114‧‧‧控制站
116、200、700‧‧‧ALD處理腔室
118‧‧‧遮罩腔室
120、122、124、126、128‧‧‧狹縫閥
121、123、125、127、129、208‧‧‧狹縫閥開口
130‧‧‧門
131‧‧‧門口
202‧‧‧腔室本體
204‧‧‧蓋組件
206‧‧‧基板支撐組件
210‧‧‧RF陰極
220‧‧‧差動泵及清洗組件
222、228、314、334、734a、734b‧‧‧摺箱
224‧‧‧軸
226‧‧‧開口
230‧‧‧基座
232‧‧‧基板
234‧‧‧孔
236‧‧‧頂出銷
237‧‧‧製程套組絕緣按鈕
238‧‧‧基板支撐表面
239、286、288、320、340、482、484、490、720、740a、740b、782、784a、784b、786‧‧‧密封件
250、750‧‧‧製程套組
310‧‧‧製程氣體入口組件
312、712a、712b‧‧‧入口管
316‧‧‧入口歧管
318‧‧‧入口接觸表面
322、342、742a、742b‧‧‧通道
330、730a、730b‧‧‧抽氣口組件
332、732a、732b‧‧‧出口管
336、736a、736b‧‧‧出口歧管
338、738a、738b‧‧‧出口接觸表面
354、754‧‧‧入口通道
356、756a、756b‧‧‧出口通道
402‧‧‧第一外表面
404‧‧‧第一內表面
410‧‧‧第二外表面
412‧‧‧第二內表面
458‧‧‧遮罩
460、760a、760b‧‧‧窗口
470‧‧‧框組件
472、772‧‧‧上部構件
474、774a、774b‧‧‧窗口夾緊構件
476‧‧‧中間構件
478‧‧‧下部構件
480‧‧‧窗口密封件
602‧‧‧製程套組定位銷
702‧‧‧入口
704‧‧‧充氣部
第1圖根據本揭露書之特定方面,繪示一範例性的處理系 統。
第2圖根據本揭露書之特定方面,繪示用於ALD之一範例性的腔室的側視圖。
第3圖根據本揭露書之特定方面,繪示用於ALD之一範例性的腔室的前視圖。
第4A及4B圖根據本揭露書之方面,繪示在一處理腔室內之一製程套組。
第5圖根據本揭露書之方面,繪示一製程套組。
第6A、6B及6C圖根據本揭露書之方面,繪示在一處理腔室中之一製程套組及基板之位置。
第7圖根據本揭露書之特定方面,繪示用於ALD之一範例性的腔室之前視圖。
第8圖根據本揭露書之方面,繪示一製程套組。
為了便於理解,在可能的情況下已使用相同的參考標號,以標出圖式中相同的元件。其係考量到一實施例中所揭露的元件可於其他實施例中被有利地使用,而無須特別指明。
本揭露書之實施例包括一處理系統,其可操作來沉積複數層於一基板上方,複數層可作為形成在基板上方之一OLED上方之一封裝層。此系統包括複數個處理腔室,每一處理腔室可沉積一或多層之複數層。處理系統更包括至少一轉移腔室以及至少一負載鎖定(load lock)腔室。此至少一轉移腔室能夠於此些處理腔室之間轉移基板,而不破壞處理系統中的真空。此至 少一負載鎖定腔室能夠裝載基板以及將基板從處理系統中移除,而不破壞處理系統中的真空。處理系統更包括一遮罩腔室,其能夠裝載和移除在處理腔室中使用的遮罩,而不破壞處理系統中的真空。
本揭露書之實施例包括化學氣相沉積(Chemical Vapor Deposition,CVD)處理腔室,其可操作來使一遮罩對準於一基板,使遮罩定位在基板上方,並執行CVD來沉積一封裝層在形成於基板上之一OLED上方。在CVD處理腔室中所執行之CVD製程可為電漿加強化學氣相沉積(Plasma-Enhanced CVD,PECVD),但本文所描述之實施例可使用其他類型的處理腔室,並不限於使用PECVD處理腔室。以CVD處理腔室所沉積之封裝層可包括氮化矽(SiN),但本文所描述之實施例可使用其他類型的處理腔室,並不限於使用SiN CVD處理腔室。
本揭露書之實施例包括一原子層沉積(Atomic Layer Deposition,ALD)處理腔室,其可操作來使一遮罩對準於一基板,使遮罩定位在基板上方,並執行ALD來沉積一封裝層在形成於基板上之一OLED上方。在ALD處理腔室中所執行之ALD製程可為時間間隔ALD(Time-Separated ALD,TS-ALD),但本文所描述之實施例可使用其他類型的處理腔室,並不限於使用TS-ALD處理腔室。以ALD處理腔室所沉積之封裝層可包括氧化鋁(Al2O3),但本文所描述之實施例可使用其他類型的處理腔室,並不限於使用Al2O3 CVD處理腔室。
本文所描述之實施例可使用其他類型的沉積製程,並不限於用於形成在基板上之OLED的封裝。本文所描述之實施例可使用各種類型、形狀與尺寸的遮罩以及基板。
基板並不限於任何特定尺寸或形狀。一方面,「基板」的用語是指任何多邊形、正方形、矩形、彎曲形或其他非圓形的工件,舉例來說,例如在製造平板顯示器所使用的玻璃基板。
在下述的內容中,除非另有說明,「氣體」和「多個氣體」的用語是可互相交換來使用的,其是指一個或多個前驅物、反應物、催化劑、載送氣體、沖洗用氣體、清潔用氣體、流出物或其之組合,以及任何其他流體。
第1圖根據本揭露書之一實施例,示出一說明性的處理系統100之剖面圖。處理系統100包括一負載鎖定腔室104、一轉移腔室106、在轉移腔室106內部的一搬運(例如工具和材料搬運)機器人108、一第一CVD處理腔室110、一第二CVD處理腔室112、一控制站114、一ALD處理腔室116及一遮罩腔室118。第一CVD處理腔室110、第二CVD處理腔室112、ALD處理腔室116及每一腔室相關的硬體較佳係由一或多個製程相容的(process-compatible)材料所形成,舉例來說,例如是鋁、陽極氧化鋁、鍍鎳鋁、不鏽鋼、石英及其之組合與合金。第一CVD處理腔室110、第二CVD處理腔室112及ALD處理腔室116視待塗覆之基板及其他處理需求的需要,而可為圓形、矩形或其他的形狀。
轉移腔室106在與負載鎖定腔室104、第一CVD處理腔室110、第二CVD處理腔室112、ALD處理腔室116及遮罩腔室118相鄰之多個側壁上包括狹縫閥開口121、123、125、127、129。搬運機器人108係被定位和構造成能夠透過每個狹縫閥開口121、123、125、127、129來插入一個或多個工具(例如搬運葉片(handling blade)),並使之進入相鄰的腔室內。也就是說,搬運機器人可經由與每個其他腔室相鄰之轉移腔室106之側壁上的狹縫閥開口121、123、125、127、129,將工具插入至負載鎖定腔室104、第一CVD處理腔室110、第二CVD處理腔室112、ALD處理腔室116及遮罩腔室118內。狹縫閥開口121、123、125、127、129係藉由狹縫閥120、122、124、126、128選擇性地開啟與關閉,當基板、工具或其他零件要被插入或從相鄰之腔室之一者移除時,藉此允許進出相鄰腔室之內部。
轉移腔室106、負載鎖定腔室104、第一CVD處理腔室110、第二CVD處理腔室112、ALD處理腔室116及遮罩腔室118包括一個或多個孔徑(未繪示),其與一真空系統(例如一真空幫浦)是流體連通的狀態。此些孔徑為各種腔室內部之氣體提供一出口。在某些實施例中,此些腔室是分別連接至一分離且獨立的真空系統。在其他實施例中,某些腔室共用一真空系統,而其他腔室具有分離且獨立的真空系統。此些真空系統可包括真空幫浦(未繪示)以及節流閥(未繪示),以控制氣體通過各種腔室的流量。
遮罩、遮罩框及其他除了基板外被放置在第一CVD處理腔室110、第二CVD處理腔室112及ALD處理腔室116之內之零件可被稱為一「製程套組(process kit)」。製程套組零件可從處理腔室移除,以供清潔或汰換。轉移腔室106、遮罩腔室118、第一CVD處理腔室110、第二CVD處理腔室112及ALD處理腔室116係按照一定尺寸及形狀製造,以允許遮罩、遮罩框及其他製程套組零件在它們之間轉移。也就是說,轉移腔室106、遮罩腔室118、第一CVD處理腔室110、第二CVD處理腔室112及ALD處理腔室116係按照一定尺寸及形狀製造,使任何製程套組零件可以經由每一狹縫閥開口121、123、125、127、129的對應狹縫閥120、122、124、126、128來關閉所有的狹縫閥開口121、123、125、127、129的狀態下完全地容納在它們之中的任一者之內。因此,製程套組零件可被移除與汰換,而不破壞處理系統的真空,由於遮罩腔室118作為一氣塞(airlock),因此允許製程套組零件從處理系統移除,而不破壞除了遮罩腔室的任何腔室中的真空。此外,轉移腔室106與遮罩腔室118之間的狹縫閥開口129、轉移腔室106與CVD處理腔室110、112之間的狹縫閥開口123、125,以及轉移腔室106與ALD處理腔室116之間的狹縫閥開口127皆按照一定尺寸及形狀製造,以允許製程套組零件於轉移腔室106與遮罩腔室118、CVD處理腔室110、112及ALD處理腔室116之間的轉移。
遮罩腔室118在遮罩腔室118與轉移腔室106之狹 縫閥開口129相對之側邊上具有一門130和一門口131。門口係按照一定尺寸及形狀製造,以允許遮罩及其他製程工具轉移至遮罩腔室118之內和之外。門130能夠在關閉時,於門口131形成一氣密封口。遮罩腔室118係按照一定尺寸及形狀製造,以允許任何製程套組零件以門130關閉及使轉移腔室106關閉的狹縫閥128兩者的狀態下完全地容納於遮罩腔室118之內。也就是說,遮罩腔室118係按照一定尺寸及形狀製造,使任何的製程套組零件在遮罩腔室118之門130沒有打開的情況下,可從轉移腔室106移動至遮罩腔室118之內,且狹縫閥128可關閉。
為了簡潔和便於描述,現將描述在處理系統100之內執行之一範例性的塗層製程。範例性的塗層製程係經由一製程控制器來控制,其可為可位於控制站114之一電腦或電腦之系統。
請參照第1圖,一基板之範例性的處理可選擇地先由搬運機器人108從遮罩腔室118收回一遮罩,並將遮罩放置在ALD處理腔室116之中。將遮罩放置在ALD處理腔室116中並非必須的,因為遮罩可從更先前的處理而留在ALD處理腔室116之中,相同的遮罩可在處理多個基板中使用。同樣地,搬運機器人108可選擇地可從遮罩腔室118收回其他的遮罩,並將此些遮罩放置在第一及第二CVD處理腔室110及112之中。在放置遮罩於第一及第二CVD處理腔室110、112和ALD處理腔室116之內的步驟,腔室之間相應的狹縫閥122、124、126、128可被開啟 及關閉。
接著,搬運機器人108從負載鎖定腔室104收回一基板,並將此基板放置在第一CVD處理腔室110之中。製程控制器控制閥、致動器及其他處理腔室之構件,以執行CVD處理。製程控制器使狹縫閥122關閉,使第一CVD處理腔室110與轉移腔室106隔離。製程控制器也讓一基板支撐件(或基座)定位基板,以進行CVD處理。倘若遮罩沒有經由搬運機器人放置在正確的處理位置內,則製程控制器可驅動一個或多個致動器來定位遮罩。或者或另外,基座也可於處理時定位遮罩。遮罩係用來遮蔽基板的特定區域,防止沉積形成於基板的這些區域上。
現使製程控制器驅動閥,開始將前驅物及其他氣體流入至第一CVD處理腔室110內。舉例來說,前驅氣體可包括矽烷(SiH4)。製程控制器控制加熱器、電漿放電構件及氣體之流量,以執行CVD製程,並沉積材料層於基板上方。在一實施例中,沉積層可以是氮化矽(SiN),然本揭露書之實施例並未限於此材料。如上所述,本揭露書之實施例可用來執行PECVD。在基板之範例性之處理中的CVD製程一直進行,直到沉積層達到所需的厚度。在一範例性之實施例中,所需的厚度為5000至10000埃(500至1000奈米)。
當第一CVD處理腔室110中之CVD製程完成時,製程控制器使第一CVD處理腔室110抽真空,接著控制基座,以使基板降低至一轉移位置。製程控制器也使第一CVD處理腔 室110與轉移腔室106之間的狹縫閥122開啟,接著命令搬運機器人108將基板從第一CVD處理腔室110收回。製程控制器接著使第一CVD處理腔室110與轉移腔室106之間的狹縫閥122關閉。
接下來,製程控制器使轉移腔室106與ALD處理腔室116之間的狹縫閥126開啟。搬運機器人108將基板放置在ALD處理腔室116之中,接著製程控制器使轉移腔室106與ALD處理腔室116之間的狹縫閥126關閉。製程控制器也使一基板支撐件(或基座)定位基板,以進行ALD處理。倘若遮罩沒有經由搬運機器人放置在正確的處理位置內,則製程控制器可驅動一個或多個致動器來定位遮罩。或者或另外,基座也可於處理時定位遮罩。遮罩係用來遮蔽基板的特定區域,防止沉積形成於基板的這些區域上。
現使製程控制器驅動閥,開始將前驅物及其他氣體流入至ALD處理腔室116內。所使用的特定氣體或多個氣體取決於所執行的製程或多道製程。此些氣體可包括三甲基鋁((CH3)3Al,TMA)、氮氣(N2)及氧氣(O2),然而,此些氣體並不限於此,而可包括一個或多個前驅物、反應物、催化劑、載體、沖洗用氣體、清潔用氣體、或任何混合物或其之組合。此些氣體可從一側導入至ALD處理腔室內,並流經基板。取決於處理系統的需要,製程控制器可控制閥,使唯一一種氣體在任何時間的特定時刻被導入至ALD處理腔室。
製程控制器也控制一動力源,動力源能夠將氣體活化成反應物種並維持反應物種的電漿,以使反應物種與基板反應並塗覆基板。舉例來說,可使用以射頻(Radio Frequency,RF)或微波(microwave,MW)為基礎之電源放電技術。此活化也可藉由以熱為基礎之技術、氣體崩潰(gas breakdown)技術、高強度光源(例如紫外線能量)或暴露至一X射線光源來產生。在範例性之製程中,氧氣係被活化為一電漿,且電漿沉積一氧氣層於基板上方並與之反應。製程控制器接著使TMA流經基板,且TMA與基板上方之氧氣層反應,在基板上方形成一氧化鋁層。製程控制器反覆進行流入氧氣的步驟,使氧氣活化成一電漿,並流入TMA,以在基板上方形成額外的層。製程控制器繼續重複所述之步驟,直到所沉積的氧化鋁層達到所需的厚度。在一範例性之實施例中,所需之厚度為500至700埃(50至70奈米)。
當ALD處理腔室116中之ALD製程完成時,製程控制器使ALD處理腔室116抽真空,接著控制基座,以使基板降低至一轉移位置。製程控制器也使ALD處理腔室116與轉移腔室106之間的狹縫閥126開啟,接著命令搬運機器人108將基板從ALD處理腔室116收回。製程控制器接著使ALD處理腔室116與轉移腔室106之間的狹縫閥126關閉。
仍請參照第1圖,接下來,製程控制器使轉移腔室106與第二CVD處理腔室112之間的狹縫閥124開啟。搬運機器人108將基板放置在第二CVD處理腔室112之中,接著製程控 制器使轉移腔室106與第二CVD處理腔室112之間的狹縫閥124關閉。在第二CVD處理腔室112中的處理係類似於上述在第一CVD處理腔室110中的處理。在範例性之基板處理中,於第二CVD處理腔室112中所執行之CVD製程一直進行,直到沉積層達到所需的厚度。在一範例性之實施例中,所需的厚度為5000至10000埃(500至1000奈米)。
因此,當第二CVD處理腔室112中的製程完成時,基板將被厚度為5000至10000埃之一第一氮化矽(SiN)層、厚度為500至700埃之一氧化鋁(Al2O3)層以及厚度為5000至10000埃之一第二氮化矽層所塗覆。單獨與氮化矽相比之下,氧化鋁層被認為是降低透過封裝層之水氣傳遞率,因此,單獨與以氮化矽來封裝相比之下,改善了封裝的可靠度。
配合參照第1圖,在上述的範例性的製程中,CVD處理腔室110、112及ALD處理腔室116中的每一者係裝載有一遮罩。或者,處理系統100可執行一製程,其中的一遮罩與一基板從處理腔室一同移動至處理腔室。也就是說,在一第二範例性的製程中,一基板與遮罩係(同時或獨自)放置在第一CVD處理腔室110中,且轉移腔室106與第一CVD處理腔室110之間的狹縫閥122係關閉的。接著在基板上執行一CVD製程。基板與遮罩接著(同時或獨自)移動進入ALD處理腔室116,且轉移腔室106與ALD處理腔室116之間的狹縫閥126係關閉的。接著在基板上執行一ALD製程。基板與遮罩接著(同時或獨自)移動進入第二 CVD處理腔室112。接著在基板上執行一CVD製程,接下來,基板與遮罩係從第二CVD處理腔室112中移除。舉例來說,基板可從處理系統100中移除,若完成,則可使用遮罩來處理一新的基板,或將遮罩從處理系統100移除來清潔。
第2圖根據本揭露書之實施例,繪示於處理位置之一製程套組250之一說明性的ALD處理腔室200之部分剖面側視圖。製程套組在下述參照第4及5圖有更詳細的描述內容。第2圖所示之ALD處理腔室係類似於第1圖所示之ALD處理腔室116。在一實施例中,ALD處理腔室200包括一腔室本體202、一蓋組件204、一基板支撐組件206、一製程氣體入口組件(參見第3圖)及一抽氣口組件(參見第3圖)。蓋組件204係放置於腔室本體202之一上端,基板支撐組件206至少部分放置於腔室本體202之內。
腔室本體202包括一狹縫閥開口208,其形成在腔室本體202之一側壁中,以提供至ALD處理腔室200之內部的通路。如上述配合參照第1圖,狹縫閥開口208係選擇性地開啟與關閉,以允許藉由搬運機器人(參照第1圖)來進出腔室本體202之內部。
在一或多個實施例中,腔室本體202包括一個或多個孔徑(未繪示),其與一真空系統(例如一真空幫浦)是流體連通的狀態。此些孔徑為處理腔室內部之氣體提供一出口。真空系統係藉由一製程控制器來控制,以維持ALD處理腔室內部適合進行 ALD製程之壓力。在本揭露書之一實施例中,ALD處理腔室中之壓力係維持在500至700毫托(mTorr)之壓力(例如係藉由一製程控制器)。
蓋組件204可包括一個或多個差動泵及清洗組件220。差動泵及清洗組件係以摺箱(bellow)222裝設至蓋組件。摺箱222允許差動泵及清洗組件220相對於蓋組件204垂直移動,同時仍保持密封以防漏氣。當製程套組250被抬升至一處理位置時,在製程套組250上之一順應式第一密封件286及一順應式第二密封件288被帶入與差動泵及清洗組件220接觸。當製程套組250在處理位置中時,第一及第二密封件286、288係被壓縮,且差動泵及清洗組件220可以移動,以維持第一及第二密封件286、288上所需的壓縮力。第一及第二密封件286、288可例如由一橡膠或塑膠材料所製成,其係與暴露至製程氣體及廢氣兼容。差動泵及清洗組件220係與一真空系統(未繪示)連接,且保持在一低的壓力。當ALD處理腔室200中之處理進行時,與差動泵及清洗組件220連接之真空系統(未繪示)係被控制以抽真空至等於或低於ALD處理腔室200之壓力的一壓力。舉例來說,當進行處理且ALD處理腔室200中之壓力係維持在500至700毫托(mTorr)(參見上述)時,差動泵及清洗組件220係被抽真空至400至500毫托。藉由抽真空至等於或低於ALD處理腔室200之壓力的一壓力,差動泵及清洗組件220可防止任何洩漏通過製程套組250上之密封件之氣體進入ALD處理腔室200。倘若在第一及第二密封件286、 288中有任何的漏氣產生,差動泵及清洗組件220內較低之壓力使ALD處理腔室200內之氣體洩漏到差動泵及清洗組件220內,而不是使氣體從差動泵及清洗組件220洩漏至ALD處理腔室200內。
ALD處理腔室200可包括一閥體(valve block)組件(未繪示)。閥體組件包括一組閥,並控制各種氣體(例如製程氣體、載送器體及沖洗用氣體)進入ALD處理腔室200。
仍請參照第2圖,蓋組件204包括一射頻(Radio Frequency,RF)陰極210,其可於ALD處理腔室200及/或製程套組250(參見第4圖之下述內容)內產生反應物種之電漿。在ALD處理腔室200中之處理期間,RF陰極210之溫度係被控制(例如是藉由一製程控制器)來影響製程套組250及基板232之溫度,並改善ALD處理之表現。RF陰極210之溫度可例如藉由一高溫計(未繪示)來量測,或藉由其它在ALD處理腔室200中之感測器來量測。RF陰極210可例如藉由電加熱元件(未繪示)來加熱,並例如藉由冷卻液之循環來冷卻。可使用任何能夠將氣體活化成反應物種並維持反應物種之電漿的動力源。舉例來說,可使用以射頻(Radio Frequency,RF)或微波(microwave,MW)為基礎之電源放電技術。此活化也可藉由以熱為基礎之技術、氣體崩潰(gas breakdown)技術、高強度光源(例如紫外線能量)或暴露至一X射線光源來產生。
仍請參照第2圖,基板支撐組件206可至少部分地 放置於腔室本體202之內。基板支撐組件可包括一基板支撐件或基座230,以在腔室本體內處理時支撐一基板232。根據本揭露書之實施例,基座也可支撐製程套組250(參見第4圖)。基座可透過一軸224或多個軸224耦接至一基板升降機構(未繪示),軸延伸通過一或多個形成在腔室本體之一底表面中之開口226。基板升降機構藉由一摺箱(bellow)228而可靈活地密封於腔室主體,摺箱防止真空從軸的周圍洩漏。基板升降機構允許基座230在一較低之機器人進出位置(如圖所示)與處理、製程套組轉移及基板轉移位置之間之ALD處理腔室200之內垂直地移動。在一些實施例中,基板升降機構在比所述更少之位置間移動。
在一個或多個其他的實施例中,基座230視基板之形狀與其他處理需求的需要,具有一平坦、矩形之表面或一實質上平坦、矩形之表面。在一個或多個實施例中,基板232可使用一真空夾頭(未繪示)、一靜電夾頭(未繪示)或一機械夾鉗(未繪示)而固定至基座。在ALD處理腔室200中之處理期間,基座230之溫度可被控制(例如是藉由一製程控制器)來影響基板232及製程套組250之溫度,並改善ALD處理之表現。基座230可例如藉由基座230內之電加熱元件(未繪示)來加熱。基座之溫度可例如藉由ALD處理腔室200中之高溫計(未繪示)來確定。
仍請參照第2圖,基座230可包括一個或多個通過基座之孔234,以容納一個或多個頂出銷(lift pin)236。每一頂出銷代表性地以陶瓷或含陶瓷之材料所製成,並用於基板搬運及傳 輸上。每一頂出銷236係以可自由地在一孔234之內滑動的方式安裝。一方面,每一孔係用一陶瓷套管作襯裡,以有助於頂出銷自由地滑動。當基板支撐組件206降低時,每一頂出銷透過接觸腔室本體202的方式,在各自的孔234內係可移動的,如第2圖所繪示。基板支撐組件206係可移動的,當基板支撐組件206在一較低的位置時,使得頂出銷236之上表面可位於基座230之基板支撐表面238之上。相反地,當基板支撐組件206在一抬升的位置時,頂出銷236之上表面係位於基座230之基板支撐表面238之下。因此,當基板支撐組件206從一較低的位置移動至一較高的位置時,每個頂出銷236之一部分通過基座230中其各別之孔234,反之亦然。
當接觸腔室本體202時,頂出銷236推抵基板232之一較低的表面,將基板抬離基座230。相反地,基座230可將基板232抬離頂出銷236。頂出銷236可包括擴大的上端或錐形頭,以防止頂出銷236脫落於基座230之外。也可利用其他本領域具有通常知識者所熟知的銷設計。
在一實施例中,一個或多個頂出銷236包括一塗層或放置於其中之一附件,其係由一防滑或高摩擦材料所製成,以當基板支撐於其上時,防止基板232滑動。一較佳的材料為一耐熱性之高分子材料,此材料不會刮傷或損壞基板232之背面,否則可能會在ALD處理腔室200內產生污染物。
在某些實施例中,基座包括製程套組絕緣按鈕237, 其可包括一個或多個順應式密封件239。製程套組絕緣按鈕237可用來搬運基座230上方的製程套組250。當基座將製程套組250抬升至處理位置(參見下述處理之討論並參照第1至5圖)內時,在製程套組絕緣按鈕237中之此一個或多個順應式密封件239係被壓縮。製程套組絕緣按鈕237可由氧化鋁(Al2O3)或另一具有高電阻以將基座與因處理而在製程套組上所感應產生之電荷隔離之材料所製成。
往回參照第2圖,基座230可在腔室本體202內垂直移動,使基座230接觸製程套組250(參見第4圖)。當製程套組250之部分移動至ALD處理腔室200之內或之外的期間,製程套組250可在基座230上方。製程套組250與RF陰極210之間的距離可被控制。舉例來說,一光學或其他感測器(未繪示)可提供關於ALD處理腔室200內之基座230之位置之資訊。
第3圖是繪示於第2圖中之ALD處理腔室200之部分前視剖面圖。也就是說,第3圖示出了如第2圖中所示之相同的ALD處理腔室,但示出了一個不同的視角。第3圖中可見製程氣體入口組件310及抽氣口組件330。
製程氣體入口組件310供應製程氣體至ALD處理腔室200。所使用之製程氣體可包括三甲基鋁(Al2(CH3)6,TMA)、氧氣(O2)及氮氣(N2)。製程氣體不是單獨在一連續之流體中提供或單獨以脈衝輸送,就是一同在一連續之流體中提供和以脈衝輸送。製程氣體入口組件310包括一個或多個入口管312、一摺箱 314、一入口歧管316、一入口接觸表面318及多個密封件320。
製程氣體係自一製程氣體源(例如一槽或管線,未繪示)而提供至一個或多個入口管312。製程氣體之流量例如是藉由在閥區塊(未繪示)中控制一或多個閥之操作的一製程控制器(未繪示)來控制。一個或多個入口管312係藉由一摺箱314與ALD處理腔室200連接。摺箱314允許一個或多個入口管312及入口歧管316相對於ALD處理腔室200移動(例如,當製程套組250接觸入口接觸表面318,如第4圖所示),而不允許氣體洩漏至ALD處理腔室200內。製程氣體流經入口管312並流進入口歧管316內。
製程氣體流經入口歧管316,流經入口接觸表面318中之一個或多個通道322,並流進製程套組250中之一個或多個入口通道354(亦參見第4圖)。入口接觸表面318可由任何與暴露至製程氣體及廢氣兼容之材料所製成,例如是聚四氟乙稀(PTFE)。一個或多個密封件320密封入口歧管316與入口接觸表面318之間的接頭,以防止製程氣體洩漏至ALD處理腔室200內。
廢氣(包括反應產物及未反應之製程氣體)係經由抽氣口組件330而抽出製程套組250中之一個或多個出口通道356外。抽氣口組件包括一個或多個出口管332、一摺箱334、一出口歧管336、一出口接觸表面338及多個密封件340。
從製程套組250內之廢氣(參見下述ALD處理之內容並參照第4圖)經由一個或多個出口通道356而排出製程套組 250外。廢氣流經出口通道356,並進入出口接觸表面338中之一個或多個通道342內(亦參見第4圖)。
廢氣流經出口接觸表面338中之通道342,並流進出口歧管336內。出口接觸表面338可由任何與暴露至製程氣體及廢氣兼容的順應式材料所製成,例如是聚四氟乙稀(PTFE)。一個或多個密封件340密封出口接觸表面338與出口歧管336之間的接頭,以防止製程氣體洩漏至ALD處理腔室200內。
廢氣流經出口歧管336,並流進一個或多個出口管332內。摺箱334允許一個或多個出口管332及出口歧管336相對於ALD處理腔室200移動(例如,當製程套組250接觸出口接觸表面338,如第4圖所示),而不允許氣體洩漏至ALD處理腔室200內。
廢氣係藉由一真空系統(未繪示)抽出於一個或多個出口管332外。
第4A及4B圖繪示ALD處理腔室200之製程套組250與基座230與蓋組件204之部分剖面前視圖。第4B圖繪示第4A圖的指定部分之放大圖,以更清楚地展現細節。所繪示之構件係在一處理位置中,以及為了執行ALD而定位之一基板232。
根據本揭露書之實施例,製程套組250可包括一遮罩458、一窗口460以及一框組件470。製程套組250具有至少一入口通道354,其連接框組件470之一第一外表面402與框組件470之一第一內表面404,且位於遮罩458與窗口460之間。 製程套組250也具有至少一出口通道356,其連接一第二外表面410與框組件470之一第二內表面412,且位於遮罩458與窗口460之間。如第4A圖所示,當製程套組250在一處理位置時,至少一入口通道354係與製程氣體入口組件310之入口接觸表面318中之一個或多個通道322對齊。而且,當製程套組250係在一處理位置時,至少一出口通道356係與抽氣口組件330之出口接觸表面338中之一個或多個通道342對齊。
在本揭露書之一些實施例中,框組件470可包括一上部構件472、一窗口夾緊構件474、一中間構件476及一下部構件478。在製程套組250包括一窗口夾緊構件474之實施例中,窗口460係被夾在窗口夾緊構件474與上部構件472之間。
參照第4A及4B圖,在本揭露書之一些實施例中,製程套組250更包括至少一窗口密封件480、圍繞入口通道354之一開口的至少一密封件482、圍繞出口通道356之一開口的至少一密封件484、在框組件470之上表面上方之一第一密封件286、在框組件470之上表面上方之一第二密封件288,以及一個或多個密封件490。在包括一窗口密封件480之實施例中,窗口460係藉由窗口密封件480與窗口夾緊構件474夾住,而在窗口密封件480與窗口夾緊構件474之間。窗口密封件480、圍繞入口通道354之一開口的至少一密封件482、圍繞出口通道356之一開口的至少一密封件484、第一密封件286、第二密封件288以及密封件490皆可由與暴露至處理氣體及廢氣兼容之一順應式材料 (例如橡膠、聚四氟乙烯(PTFE))所製成。
遮罩458與下部構件478可由不變鋼(Invar)或其他與製程及廢氣兼容並具有低熱膨脹係數之材料所製成。希望遮罩458與下部構件478可由具有低熱膨脹係數之材料所製成,以減低所沉積之塗層之位置因處理期間之溫度的差異而產生之偏差。也就是說,倘若遮罩458與支撐遮罩458之一框構件(例如,框下部構件478)係由具有低熱膨脹係數之材料所製成,便減低了因溫度的差異而造成遮罩位置的偏差。
框組件470之上部構件472與中間構件476可由鋁、陽極氧化鋁、鍍鎳鋁、不鏽鋼、石英或其他與製程氣體及廢氣兼容之材料所製成。
在ALD處理腔室200中之ALD處理期間,基座230將基板232定位在製程套組250之遮罩458的正下方。當基座230定位基板232的同時,基座230也壓縮製程套組250,使之與差動泵及清洗組件220(參見第2圖)、入口接觸表面318(參見第3圖)及出口接觸表面338(參見第3圖)接觸。壓縮製程套組,使之與差動泵及清洗組件220(參見第2圖)、入口接觸表面318(參見第3圖)及出口接觸表面338(參見第3圖)接觸,使圍繞於入口通道354之一開口之至少一密封件482、圍繞於出口通道356之一開口之至少一密封件484、第一密封件286及第二密封件288壓縮。圍繞於入口通道354之一開口之至少一密封件482、圍繞於出口通道356之一開口之至少一密封件484、第一密封件286及 第二密封件288皆阻止了製程及/或廢氣洩漏進入ALD處理腔室200內。
在本揭露書之其它實施例中,製程套組250藉由一分離式之機械夾頭(未繪示)、真空夾頭(未繪示)或磁性夾頭(未繪示)而被支撐抵靠在差動泵及清洗組件220(參見第2圖)、入口接觸表面318(參見第3圖)及出口接觸表面338(參見第3圖)的位置中。當藉由各種夾頭之一來支撐時,製程套組250可被支撐抵靠在差動泵及清洗組件220(參見第2圖)、入口接觸表面318(參見第3圖)及出口接觸表面338(參見第3圖),而各種密封件482、484、286、288被壓縮,或者製程套組250可被支撐在一位置中而各種密封件482、484、286、288中之某些或所有密封件未被壓縮。
第5圖繪示一範例性之製程套組250之一上視圖。如圖所示,窗口460、窗口夾緊構件474、上部構件472、一入口通道354之一開口,及一出口通道356之一開口在製程套組250之上視圖中係可見的。並且,圍繞於入口通道354之開口之一密封件482、圍繞於出口通道356之開口之一密封件484、框之上表面上方之第一密封件286,及框之上表面之第二密封件288係可見的。將窗口夾緊構件474連接至上部構件472之各種螺絲並未繪示於第5圖,以使其它特徵可更為清楚地被看見。
雖繪示於第5圖中之範例性之製程套組250僅具有一單一狹縫形(亦即,具有高的長度與寬度比率,例如4比1)開口 的入口通道354,然本揭露書並未限於此。雖所繪示之狹縫形開口具有銳角,然本揭露書之實施例可具有圓弧端之狹縫形開口。此外,本揭露書之實施例可使用許多其它形狀之開口,例如可使用方形、卵形及矩形開口之入口通道354。並且,本揭露書之實施例可使用多於一個之入口通道354,各入口通道354具有一對應之開口或多個開口。各開口可藉由一密封件482所圍繞,或者多於一個之開口可藉由一個密封件482所圍繞。
類似地,雖繪示於第5圖中之範例性之製程套組250僅具有一單一狹縫形開口的出口通道356,然本揭露書並未限於此。雖所繪示之狹縫形開口具有銳角,然本揭露書之實施例可具有圓弧端之狹縫形開口。此外,本揭露書之實施例可使用許多其它形狀之開口,例如可使用方形、卵形及矩形開口之出口通道356。並且,本揭露書之實施例可使用多於一個之出口通道356,各出口通道356具有一對應之開口或多個開口。各開口可藉由一密封件484所圍繞,或者多於一個之開口可藉由一個密封件484所圍繞。
製程套組250之窗口460可例如由石英所製成,或由另一材料所製成,此材料既允許輻射能(例如紅外線、紫外線或RF能量)穿透進入製程套組250內,又與製程氣體及廢氣兼容。
窗口夾緊構件474可由氧化鋁(Al2O3)所製成,或由另一可夾緊石英或窗口460之其他材料而不會因暴露於用來將製程氣體轉換至反應物種(例如自RF陰極210之RF能量)之能量(例 如紅外線、紫外線或RF能量)所破壞之材料所製成。
各種密封件482、484、286及288可由PTFE、橡膠或另一與暴露至製程氣體及廢氣兼容之順應式之材料所製成。
為了對製程套組250有更進一步之描述,參照第1至5圖,現將描述在ALD處理腔室200中使用製程套組250來執行之一範例性之ALD製程。
在範例性之ALD製程中,當搬運機器人108(參見第1圖)在一製程控制器(未繪示)的指示之下,將一基板232放置在ALD處理腔室200之頂出銷236上(參見第2圖)時,一製程套組250係在ALD處理腔室200當中(參見第2圖)。搬運機器人108藉由其經由狹縫閥開口208(參見第2圖)將一葉片或其它機器人工具插入ALD處理腔室200內的方式,將基板232放置在ALD處理腔室200中。
製程控制器接著指示基板支撐組件206(參見第2圖)將基板232抬升至製程套組250之遮罩458下方之一處理位置內(參見第4A圖)。當基板232在製程位置中時,製程控制器開始將製程氣體經由製程氣體入口組件310(參見第3圖)流進ALD處理腔室200內。製程氣體可以多個前驅物(例如TMA及氧氣)及載送氣體(例如氦氣)之一混合物來流動,或者,假如要執行時間間隔ALD(TS-ALD),則從各個其它前驅物氣體源將各個前驅物氣體(可能與一載送氣體混合)以分離式脈衝流動。
製程氣體流經入口歧管316、流經入口接觸表面318 中的一個或多個通道322,並進入製程套組之一個或多個入口通道354(參見第3圖)。製程氣體流經入口通道354,並進入製程套組250之窗口460與遮罩458之間的空間內(參見第4A圖)。當製程氣體在窗口460與遮罩458之間的空間中時,製程氣體可藉由ALD處理腔室200之RF陰極210(或其它活化製程氣體的方式)而激活成反應物種(例如電漿)。由於窗口460允許活化射線(或其它能量)穿透製程套組250,製程氣體可在製程套組250之內被激活。例如,氧氣可在窗口460與遮罩458之間的空間內被激活成電漿。
製程氣體及製程氣體中任何被激活的物種與基板232反應並塗覆基板232。例如,氧氣之電漿可與基板232反應並塗覆基板232。在此範例中,TMA可接著與塗覆在基板上之氧氣反應,在基板上方形成一氧化鋁層。遮罩458控制基板的暴露,使得材料的塗層沉積在基板232所需的位置,而不要沉積在不需塗層的基板232之區域中。
廢氣(例如反應產物及未反應之製程氣體)係經由一個或多個出口通道356(參見第3及4圖)而抽出製程套組250外。廢氣從一個或多個出口通道356流入出口接觸表面中之一個或多個通道342內,流經出口歧管336並進入一個或多個出口管332中,如上所述。
某些製程氣體可能從圍繞入口通道354之開口的一個或多個密封件482洩漏出。從(多個)密封件482洩漏出的製程 氣體係藉由製程套組250之框架之上表面上方的第一密封件286及第二密封件288來抑制從ALD處理腔室200的其它部分進入(參見第5圖)。此外,從(多個)密封件482洩漏出的製程氣體可藉由差動泵及清洗組件220而抽出ALD處理腔室200外(參見第2圖)。
某些廢氣可能從圍繞出口通道356之開口的一個或多個密封件484洩漏出。從(多個)密封件484洩漏出的廢氣係藉由製程套組250之框架之上表面上方的第一密封件286及第二密封件288來抑制從ALD處理腔室200的其它部分進入(參見第5圖)。此外,從(多個)密封件484洩漏出的廢氣可藉由差動泵及清洗組件220而抽出ALD處理腔室200外(參見第2圖)。
第6A、6B及6C圖繪示準備進行處理過程中,製程套組及基板放置在範例性的ALD處理腔室200中期間,製程套組及基板的位置之前視圖(亦即,與第3圖相同的視角)。第6A圖繪示製程套組250已放置在ALD處理腔室200中之後製程套組250緊接地的位置。製程套組250係藉由搬運機器人108(參見第1圖)經由狹縫閥開口208(參見第2圖)而放置在ALD處理腔室200中。製程套組250係藉由搬運機器人108而放置在製程套組定位銷602上方。製程套組定位銷602具有圓錐形或其它形狀的端部,來幫助製程套組250對準製程套組250之處理位置。
製程套組定位銷602與一製程套組升降機構(未繪示)連接,製程套組升降機構可抬升或降低製程套組定位銷602。 在搬運機器人將製程套組250放置在製程套組定位銷602上方之後,製程套組升降機構抬升製程套組定位銷602,使製程套組定位銷602抬升製程套組250。
第6B圖繪示製程套組定位銷602及製程套組250在一抬升的位置。第6B圖中所示的抬升位置可稱為一基板裝載位置。當製程套組定位銷602及製程套組250在基板裝載位置時,搬運機器人(參見第1圖)可將一基板232經由狹縫閥開口208(參見第2圖)放置在ALD處理腔室200之內。基板232係放置在頂出銷236上方。接著,搬運機器人108將被用來使基板放置在ALD處理腔室200內的工具(例如一葉片)收回,且製程控制器使狹縫閥開口208關閉。當搬運機器人108將工具從ALD處理腔室200中收回之後,基板抬升機構(未繪示)可抬升一個或多個軸224(參見第2圖),其抬升基座230。
第6C圖繪示在處理位置中的製程套組250、基座230及基板232。如第4及4A圖中更多的細節所示,製程套組250係藉由基座230而抬至處理位置。當製程套組250在處理位置時,製程套組250係與入口接觸表面318及出口接觸表面338接觸。
當完成處理時,基板抬升機構(未繪示)降低基座230。如第6B圖所示,製程套組250停留在製程套組定位銷602上方,且基板232停留在頂出銷236上方。
第7圖係所繪示的範例性ALD處理腔室700的前方之一部分剖面視圖。範例性ALD處理腔室700係類似於第2圖中 所繪示之範例性ALD處理腔室200。第7圖中可見兩個抽氣口組件730a、730b。
製程氣體係經由一個或多個入口702供應至ALD處理腔室700。製程氣體可在製程氣體流進ALD處理腔室700的內部之前進入充氣部704。製程氣體不是單獨在一連續之流體中提供或單獨以脈衝輸送,就是一同在一連續之流體中提供和以脈衝輸送。某些或所有的製程氣體可在它們流進ALD處理腔室的內部之前,在充氣部704中被激活成一反應物種(例如電漿)。
製程氣體係自一製程氣體源(例如一槽或管線,未繪示)而提供至一個或多個入口管712a、712b。製程氣體之流量例如是藉由在閥區塊(未繪示)中控制一或多個閥之操作的一製程控制器(未繪示)來控制。
製程氣體流經充氣部704,流經一個或多個入口702並流進製程套組750中之一個或多個入口通道754(亦參見第8圖)一個或多個密封件720密封入口702與入口通道754之間的接頭,以防止製程氣體洩漏至ALD處理腔室700內。
廢氣(包括反應產物及未反應之製程氣體)係經由抽氣口組件730a、730b而抽出製程套組750中之一個或多個出口通道756a、756b外。抽氣口組件730a、730b包括一個或多個出口管732a、732b、摺箱734a、734b、出口歧管736a、736b、出口接觸表面738a、738b及多個密封件740a、740b。
從製程套組750內之廢氣(參見上述ALD處理之內 容並參照第4圖)經由一個或多個出口通道756a、756b而排出製程套組750外。廢氣流經出口通道756a、756b,並進入出口接觸表面738a、738b中之一個或多個通道742a、742b內。
廢氣流經出口接觸表面738a、738b中之通道742a、742b,並流進出口歧管736a、736b內。出口接觸表面738a、738b可由任何與暴露至製程氣體及廢氣兼容的順應式之材料所製成,例如是聚四氟乙稀(PTFE)。一個或多個密封件740a、740b密封出口接觸表面738a、738b與出口歧管736a、736b之間的接頭,以防止製程氣體洩漏至ALD處理腔室700內。
廢氣流經出口歧管736a、736b,並流進一個或多個出口管732a、732b內。摺箱734a、734b允許一個或多個出口管732a、732b及出口歧管736a、736b相對於ALD處理腔室700移動(例如,如圖所示,當製程套組750接觸出口接觸表面738a、738b),而不允許氣體洩漏至ALD處理腔室700的其他部分內。
廢氣係藉由一真空系統(未繪示)抽出於一個或多個出口管732a、732b外。
第8圖繪示一範例性製程套組750之上視圖。此範例性製程套組750具有與第2圖中所繪示之範例性製程套組250某些相似之處,類似的用語係用以描述類似的部件。如圖所示,製程套組750之上視圖中,可見兩個窗口760a、760b、窗口夾緊構件774a、774b、上部構件772、一入口通道754之一開口及出口通道756a、756b之兩個開口。也可見圍繞入口通道754之開口 的一個密封件782、圍繞出口通道756a、756b之開口的兩個密封件784a、784b,以及在框之上表面上方之一第一密封件786。應指出的是,窗口760a、760b及窗口夾緊構件774a、774b是可選擇的。如果不具有窗口760a、760b及窗口夾緊構件774a、774b,則上部構件772可包圍製程套組750的內部。將窗口夾緊構件774a、774b連接至上部構件772的各種螺絲並未繪示於第8圖中,因此更可清楚地看出其餘的特徵。
雖繪示於第8圖中之範例性之製程套組750僅具有一單一狹縫形(亦即,具有高的長度與寬度比率,例如4比1)開口的入口通道754,然本揭露書並未限於此。雖所繪示之狹縫形開口具有銳角,然本揭露書之實施例可具有圓弧端之狹縫形開口。此外,本揭露書之實施例可使用許多其它形狀之開口,例如可使用方形、卵形及矩形開口之入口通道754。並且,本揭露書之實施例可使用多於一個之入口通道754,各入口通道754具有一對應之開口或多個開口。各開口可藉由一密封件782所圍繞,或者多於一個之開口可藉由一個密封件782所圍繞。
類似地,雖繪示於第8圖中之範例性之製程套組750具有兩個單一狹縫形開口的出口通道756a、756b,然本揭露書並未限於此。雖所繪示之狹縫形開口具有銳角,然本揭露書之實施例可具有圓弧端之狹縫形開口。此外,本揭露書之實施例可使用許多其它形狀之開口,例如可使用方形、卵形及矩形開口之出口通道756a、756b。並且,本揭露書之實施例可使用多於一個之出 口通道756a、756b,各出口通道756a、756b具有一對應之開口或多個開口。各開口可藉由一密封件784a、784b所圍繞,或者多於一個之開口可藉由一個密封件784a、784b所圍繞。
製程套組750之窗口760a、760b可例如由石英所製成,或由另一材料所製成,此材料既允許輻射能(例如紅外線、紫外線或RF能量)穿透進入製程套組750內,又與製程氣體及廢氣兼容。
窗口夾緊構件774a、774b可由氧化鋁(Al2O3)所製成,或由另一可夾緊石英或窗口760a、760b之其他材料而不會因暴露於用來將製程氣體轉換至反應物種之能量(例如紅外線、紫外線或RF能量)所破壞之材料所製成。
各種密封件782、784a、784b及786可由PTFE、橡膠或另一與暴露至製程氣體及廢氣兼容之順應式材料所製成。
如上述配合參照第1圖之製程控制器可在儲存於一電腦之硬碟驅動器上之電腦程式的控制下進行操作。舉例來說,電腦程式可指定製程順序與時間、氣體之混合、腔室壓力、RF功率級別、基座定位、狹縫閥開啟與關閉,以及其他特定製程之參數。
為了提供前述討論更好的理解,提供上述非用以限制之範例。雖此些範例可能針對特定的實施例,然此些範例不應被解釋為本揭露書在任何特定方面之限制。
除非有另有說明,所有在說明書及申請專利範圍中 所使用之表示成分數量的數字、性質、反應條件等等應理解為近似值的概念。這些近似值係基於本揭露書冀望得到之預期的性質以及量測誤差,並應至少以按照所描述之有效數字之數目並透過應用一般的捨入技術來解釋。此外,所有本文所表示之數量(包括溫度、壓力、間距、莫耳比率、流率等等)都可進一步優化,以獲得所期望之層與粒子性能。
綜上所述,雖然本揭露書已以較佳實施例揭露如上,然其並非用以限定本揭露書。本揭露書所屬技術領域中具有通常知識者,在不脫離本揭露書之精神和範圍內,當可作各種之更動與潤飾。因此,本揭露書之保護範圍當視後附之申請專利範圍所界定者為準。
200‧‧‧ALD處理腔室
204‧‧‧蓋組件
210‧‧‧RF陰極
230‧‧‧基座
232‧‧‧基板
250‧‧‧製程套組
286、288、482、484、490‧‧‧密封件
318‧‧‧入口接觸表面
338‧‧‧出口接觸表面
354‧‧‧入口通道
356‧‧‧出口通道
402‧‧‧第一外表面
404‧‧‧第一內表面
410‧‧‧第二外表面
412‧‧‧第二內表面
458‧‧‧遮罩
460‧‧‧窗口
470‧‧‧框組件
472‧‧‧上部構件
474‧‧‧窗口夾緊構件
476‧‧‧中間構件
478‧‧‧下部構件
480‧‧‧窗口密封件

Claims (20)

  1. 一種在一原子層沉積(Atomic Layer Deposition,ALD)腔室中使用的製程套組,包括:一窗口;一遮罩,平行於該窗口設置;以及一框,與該窗口及該遮罩連接,其中該框具有至少一入口通道,該至少一入口通道連接該框之一第一外表面與該框之一第一內表面,該第一內表面係位於該窗口與該遮罩之間,其中該框具有至少一出口通道,該至少一出口通道連接該框之一第二外表面與該框之一第二內表面,該框之該第二內表面係位於該窗口與該遮罩之間。
  2. 如申請專利範圍第1項所述之製程套組,其中該窗口包括石英。
  3. 如申請專利範圍第1項所述之製程套組,其中該遮罩包括不變鋼。
  4. 如申請專利範圍第1項所述之製程套組,其中該框包括一上部構件、一窗口夾緊構件、一中間構件及一下部構件,該下部構件與該遮罩連接,其中該窗口係被夾在該窗口夾緊構件與該上部構件之間,該上部構件與該中間構件連接,且該中間構件與該下部構件連接。
  5. 如申請專利範圍第4項所述之製程套組,其中該上部構件包括一窗口密封件,且該窗口係被夾在該窗口密封件與該窗口夾 緊構件之間。
  6. 如申請專利範圍第1項所述之製程套組,其中一開口係在該第一外表面朝向該至少一入口通道的上方,該開口係狹縫形。
  7. 如申請專利範圍第1項所述之製程套組,其中一開口係在該第二外表面朝向該至少一出口通道的上方,該開口係狹縫形。
  8. 如申請專利範圍第1項所述之製程套組,更包括:至少一密封件,位於該框之該第一外表面上方,該至少一密封件圍繞於該至少一入口通道之一開口。
  9. 如申請專利範圍第8項所述之製程套組,其中該至少一密封件包括橡膠。
  10. 如申請專利範圍第1項所述之製程套組,更包括:至少一密封件,位於該框之該第二外表面上方,該至少一密封件圍繞於該至少一出口通道之一開口。
  11. 如申請專利範圍第10項所述之製程套組,其中該至少一密封件包括橡膠。
  12. 如申請專利範圍第1項所述之製程套組,更包括:至少一內部密封件,位於該框之一上表面上方,該至少一內部密封件圍繞於該窗口。
  13. 如申請專利範圍第12項所述之製程套組,其中該至少一內部密封件包括橡膠。
  14. 如申請專利範圍第12項所述之製程套組,更包括:至少一外部密封件,位於該框之該上表面上方,該至少一外 部密封件圍繞於該至少一內部密封件。
  15. 如申請專利範圍第14項所述之製程套組,其中該至少一外部密封件包括橡膠。
  16. 一種用於處理一基板的設備,包括:一腔室本體;一基座;至少一製程套組定位銷;至少一製程氣體入口;至少一抽氣口;以及一製程套組,其中該製程套組包括一窗口、平行於該窗口設置的一遮罩,以及連接該窗口及該遮罩的一框,其中該框具有至少一入口通道,該至少一入口通道連接該框之一第一外表面與該框之一第一內表面,該第一內表面係位於該窗口與該遮罩之間,其中該框具有至少一出口通道,該至少一出口通道連接該框之一第二外表面與該框之一第二內表面,該框之該第二內表面係位於該窗口與該遮罩之間。
  17. 如申請專利範圍第16項所述之設備,其中該基座可操作來使該製程套組抬升至一處理位置。
  18. 如申請專利範圍第17項所述之設備,其中當該製程套組位於該處理位置時,該製程套組之該至少一入口通道係對準於該至少一製程氣體入口,且該製程套組之該至少一出口通道係對準於該至少一抽氣口。
  19. 如申請專利範圍第16項所述之設備,更包括:至少一差動泵及清洗組件。
  20. 如申請專利範圍第19項所述之設備,更包括:一蓋組件,其中該至少一差動泵及清洗組件係與該蓋組件連接,且該至少一差動泵及清洗組件可相對於該蓋組件移動。
TW104101824A 2014-01-21 2015-01-20 允許低壓汰換工具之薄膜封裝處理系統及製程套組 TWI641066B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201461929786P 2014-01-21 2014-01-21
US61/929,786 2014-01-21
US201462075794P 2014-11-05 2014-11-05
US62/075,794 2014-11-05
US201462076342P 2014-11-06 2014-11-06
US62/076,342 2014-11-06

Publications (2)

Publication Number Publication Date
TW201539617A true TW201539617A (zh) 2015-10-16
TWI641066B TWI641066B (zh) 2018-11-11

Family

ID=53681861

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104101825A TWI670389B (zh) 2014-01-21 2015-01-20 允許低壓汰換工具之原子層沉積處理腔室及具有其之處理系統
TW104101824A TWI641066B (zh) 2014-01-21 2015-01-20 允許低壓汰換工具之薄膜封裝處理系統及製程套組

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104101825A TWI670389B (zh) 2014-01-21 2015-01-20 允許低壓汰換工具之原子層沉積處理腔室及具有其之處理系統

Country Status (6)

Country Link
US (2) US20160319422A1 (zh)
JP (2) JP6495301B2 (zh)
KR (3) KR102458230B1 (zh)
CN (2) CN105934837B (zh)
TW (2) TWI670389B (zh)
WO (2) WO2015112467A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI658164B (zh) * 2016-12-02 2019-05-01 美商應用材料股份有限公司 薄膜封裝處理系統和處理套組
TWI762709B (zh) * 2017-08-31 2022-05-01 美商蘭姆研究公司 用於在基板選擇側沉積的pecvd沉積系統
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6056673B2 (ja) * 2013-06-14 2017-01-11 東京エレクトロン株式会社 ガス処理装置
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
EP3414357B1 (en) * 2016-02-10 2020-06-17 Beneq OY An apparatus for atomic layer deposition
US20170352562A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Dodecadon transfer chamber and processing system having the same
JP6723116B2 (ja) 2016-08-31 2020-07-15 株式会社日本製鋼所 原子層成長装置および原子層成長方法
US11251019B2 (en) 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US10679827B2 (en) * 2017-01-25 2020-06-09 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity
WO2018153421A2 (en) 2017-02-24 2018-08-30 Flexucell Aps Light emitting transducer
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
DE102017105374A1 (de) 2017-03-14 2018-09-20 Aixtron Se Vorrichtung zum Abscheiden einer strukturierten Schicht auf einem Substrat sowie Verfahren zum Einrichten der Vorrichtung
DE102017105379A1 (de) * 2017-03-14 2018-09-20 Aixtron Se Substrathalteranordnung mit Maskenträger
US10312475B2 (en) * 2017-05-15 2019-06-04 Applied Materials, Inc. CVD thin film stress control method for display application
JP7177099B2 (ja) 2017-06-21 2022-11-22 ピコサン オーワイ 基板処理装置および方法
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US11655536B2 (en) * 2018-03-20 2023-05-23 Sharp Kabushiki Kaisha Film forming mask and method of manufacturing display device using same
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10697062B2 (en) 2018-07-11 2020-06-30 Applied Materials, Inc. Gas flow guide design for uniform flow distribution and efficient purge
JP7046188B2 (ja) * 2018-08-02 2022-04-01 東京エレクトロン株式会社 成膜装置
FI130051B (en) * 2019-04-25 2023-01-13 Beneq Oy DEVICE AND METHOD
EP3990680A4 (en) * 2019-06-25 2023-01-11 Picosun Oy SUBSTRATE REAR FACE PROTECTION
WO2022040075A1 (en) * 2020-08-21 2022-02-24 Applied Materials, Inc. Processing system for processing a flexible substrate and method of measuring at least one of a property of a flexible substrate and a property of one or more coatings on the flexible substrate
US11664327B2 (en) * 2020-11-17 2023-05-30 STATS ChipPAC Pte. Ltd. Selective EMI shielding using preformed mask
US20220251704A1 (en) * 2021-01-27 2022-08-11 Eugenus, Inc. Precursor delivery system and method for cyclic deposition
KR20240046597A (ko) * 2021-08-25 2024-04-09 어플라이드 머티어리얼스, 인코포레이티드 반응기 프레임들과 정합된 탄성 물체들을 사용한 프로세스 가스 봉쇄
CN118140297A (zh) * 2021-10-29 2024-06-04 东京毅力科创株式会社 等离子体处理系统和等离子体处理装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4648106A (en) * 1984-11-21 1987-03-03 Micronix Corporation Gas control for X-ray lithographic system
JPS63119233A (ja) * 1986-11-07 1988-05-23 Hitachi Ltd X線転写装置
JP3140111B2 (ja) 1991-11-19 2001-03-05 オリンパス光学工業株式会社 高倍率顕微鏡対物レンズ
JP2778574B2 (ja) * 1995-03-30 1998-07-23 日本電気株式会社 半導体用製造装置
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP4776054B2 (ja) * 2000-02-04 2011-09-21 株式会社デンソー 原子層成長による薄膜形成方法
KR100494970B1 (ko) 2002-12-03 2005-06-13 병호 최 광원자층 선택증착장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP3140111U (ja) * 2007-12-21 2008-03-13 日本エー・エス・エム株式会社 半導体製造装置用ガス供給装置
WO2010051233A2 (en) 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US20100186671A1 (en) * 2009-01-23 2010-07-29 Applied Materials, Inc. Arrangement for working substrates by means of plasma
KR101569796B1 (ko) * 2009-06-23 2015-11-20 주성엔지니어링(주) 기판 정렬 장치, 이를 포함하는 기판 처리 장치 및 기판 정렬 방법
WO2011112587A1 (en) * 2010-03-09 2011-09-15 First Solar, Inc. Deposition chamber cleaning system and method
JPWO2012039310A1 (ja) * 2010-09-22 2014-02-03 株式会社アルバック 有機el素子の製造方法、成膜装置、有機el素子
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
US20120225207A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR101853265B1 (ko) * 2011-03-15 2018-05-02 삼성디스플레이 주식회사 증착 마스크
CN103597625B (zh) * 2011-06-17 2017-07-11 应用材料公司 用于有机发光二极管处理的化学气相沉积掩模对准
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
JP5771339B2 (ja) * 2012-02-21 2015-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子層堆積リソグラフィ
US20130239889A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Valve purge assembly for semiconductor manufacturing tools
US20130337171A1 (en) * 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI658164B (zh) * 2016-12-02 2019-05-01 美商應用材料股份有限公司 薄膜封裝處理系統和處理套組
JP7369166B2 (ja) 2016-12-02 2023-10-25 アプライド マテリアルズ インコーポレイテッド 薄膜封止処理システムおよびプロセスキット
TWI762709B (zh) * 2017-08-31 2022-05-01 美商蘭姆研究公司 用於在基板選擇側沉積的pecvd沉積系統
US11441222B2 (en) 2017-08-31 2022-09-13 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11725283B2 (en) 2017-08-31 2023-08-15 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11851760B2 (en) 2017-08-31 2023-12-26 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow

Also Published As

Publication number Publication date
KR102458230B1 (ko) 2022-10-21
CN106415876B (zh) 2018-06-26
WO2015112467A1 (en) 2015-07-30
KR102302720B1 (ko) 2021-09-14
WO2015112470A1 (en) 2015-07-30
CN106415876A (zh) 2017-02-15
JP6713413B2 (ja) 2020-06-24
KR20160111962A (ko) 2016-09-27
TW201542861A (zh) 2015-11-16
KR102330725B1 (ko) 2021-11-23
TWI670389B (zh) 2019-09-01
TWI641066B (zh) 2018-11-11
US20160362788A1 (en) 2016-12-15
KR20160111963A (ko) 2016-09-27
CN105934837A (zh) 2016-09-07
CN105934837B (zh) 2018-12-28
KR20210144933A (ko) 2021-11-30
US10184179B2 (en) 2019-01-22
US20160319422A1 (en) 2016-11-03
JP6495301B2 (ja) 2019-04-03
JP2017504725A (ja) 2017-02-09
JP2017505987A (ja) 2017-02-23

Similar Documents

Publication Publication Date Title
TWI641066B (zh) 允許低壓汰換工具之薄膜封裝處理系統及製程套組
KR101764048B1 (ko) 성막 장치
TWI737868B (zh) 成膜裝置及成膜方法
CN210123719U (zh) 高温气体分配组件
TWI407494B (zh) 半導體處理裝置
US11306393B2 (en) Methods and apparatus for ALD processes
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
TW202132616A (zh) 用於原子層沉積前驅物運送的噴淋頭
JP7369166B2 (ja) 薄膜封止処理システムおよびプロセスキット
WO2020242817A1 (en) Atomic layer deposition reactor design for uniform flow distribution