CN106415876B - 允许低压力工具替换的薄膜封装处理系统和工艺配件 - Google Patents

允许低压力工具替换的薄膜封装处理系统和工艺配件 Download PDF

Info

Publication number
CN106415876B
CN106415876B CN201580005179.2A CN201580005179A CN106415876B CN 106415876 B CN106415876 B CN 106415876B CN 201580005179 A CN201580005179 A CN 201580005179A CN 106415876 B CN106415876 B CN 106415876B
Authority
CN
China
Prior art keywords
process kit
window
frame
mask
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580005179.2A
Other languages
English (en)
Other versions
CN106415876A (zh
Inventor
栗田真
栗田真一
J·库德拉
J·M·怀特
D·哈斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN106415876A publication Critical patent/CN106415876A/zh
Application granted granted Critical
Publication of CN106415876B publication Critical patent/CN106415876B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Abstract

本公开涉及用于薄膜封装(TFE)的方法和设备。提供一种用于TFE的工艺配件。所述工艺配件是包括窗口、平行于窗口的掩模和框架的组件。所述工艺配件进一步包括用于使工艺气体流进所述窗口与所述掩模之间的容积的入口通道、用于将流出物气体泵送离开所述窗口与所述掩模之间的容积的出口通道,以及用于禁止工艺气体与流出物气体流动到不期望的位置的密封件。提供一种执行TFE的方法,所述方法包括将基板放置在上述工艺配件的掩模下方、使工艺气体流入所述工艺配件内,以及借助处理腔室内的能量源将工艺气体中的一些气体激活成反应物种。

Description

允许低压力工具替换的薄膜封装处理系统和工艺配件
背景
技术领域
本公开的实施例总体上涉及一种用于处理大面积基板的设备。更具体地,本公开的实施例涉及一种用于装置制造的原子层沉积(ALD)系统和用于所述系统的喷淋头(showerhead)的原位清洁方法。
背景技术
有机发光二极管(OLED)用于显示信息的电视屏幕、计算机监视器、移动电话、其他手持装置等的制造。典型的OLED可包括位于两个电极之间的有机材料层,以形成具有可被单独通电的像素的矩阵显示器面板的方式将这两个电极全部沉积在基板上。OLED通常被放置在两块玻璃面板之间,且玻璃面板的边缘被密封以在其中封装OLED。
OLED产业以及利用基板处理技术的其他产业必须封装湿敏(moisture-sensitive)装置以保护它们免受环境湿气暴露。已提出一种薄的共形的材料层作为减少穿透封装层的水蒸气透过率(WVTR)的手段。近来,存在已在商业上完成的数个方式。使用ALD工艺来覆盖湿敏装置正被考虑以确定这些涂层的共形性质是否比其他涂层提供更有效的湿气阻挡层。
ALD基于原子层外延(ALE)并采用化学吸附技术以在连续的循环中将前体分子输送在基板表面上。此循环使基板表面暴露于第一前体,并且然后暴露于第二前体。可选择地,可在这些前体的引入之间引入净化气体。第一前体与第二前体反应以形成一产物化合物,作为基板表面上的膜。重复此循环以形成层达期望的厚度。
执行ALD的一种方法是通过前体气体的时间分离的(Time-Separated,TS)脉冲。此方法比其他方法具有若干优势,然而TS-ALD的一个缺点在于暴露于前体的每一个表面(例如腔室的内部)将被沉积物涂覆。如果未定期地移除这些沉积物,它们最终将趋向于剥落并脱落,从而导致留在基板上的颗粒并且因而导致所沉积的层的降低的湿气阻挡层性能。如果没有有效的方式来从腔室表面原位地清洁不期望的沉积物,则必须移除那些腔室表面以便“离线(off-line)”清洁。如果腔室必须被打开以完成移除并替换腔室表面以便清洁,则必须破坏腔室中的真空(例如使腔室处于大气压力),而此真空的破坏将导致过多的腔室停机时间(down-time)。
因此,对于以最小的停机时间来允许移除并清洁将积累外来沉积物的腔室的主要关键元件的处理腔室具有需求。
发明内容
提供一种在ALD腔室中使用的工艺配件。工艺配件总体上包括窗口、平行于所述窗口设置的掩模,以及与所述窗口和掩模连接的框架。框架有至少一个入口通道,所述至少一个入口通道将框架的第一外表面与框架的第一内表面连接,其中所述第一内表面在所述窗口与所述掩模之间。所述框架还具有至少一个出口通道,所述至少一个出口通道将所述框架的第二外表面与所述框架的第二内表面连接,其中所述框架的第二内表面在所述窗口与所述掩模之间。
在另一个实施例中,提供一种用于执行ALD的处理系统。此处理系统总体上包括ALD处理腔室,其中将所述ALD处理腔室内的压力维持在1托(torr)或更小,且所述ALD处理腔室具有第一狭缝阀开口,所述第一狭缝阀开口配置成允许工艺配件通过此第一狭缝阀开口。此处理系统进一步包括第一狭缝阀、入口歧管、出口歧管以及一个或多个差动泵和净化组件,所述第一狭缝阀可操作来打开和关闭ALD处理腔室的第一狭缝阀开口,其中第一狭缝阀可操作来在被关闭时作出气密密封,所述入口歧管可操作来抵压工艺配件的密封件并且能够使气体流到工艺配件的入口通道,所述出口歧管可操作来抵压工艺配件的密封件并且能够使气体从工艺配件的出口通道流出,所述一个或多个差动泵和净化组件可操作来抵压工艺配件的密封件并且泵送气体离开工艺配件。
在另一个实施例中,提供一种用于执行ALD的方法。此方法总体上包括以下步骤:将基板和工艺配件定位在ALD处理腔室内,其中所述工艺配件包括窗口、平行于所述窗口设置的掩模以及与所述窗口和所述掩模连接的框架。所述框架有至少一个入口通道,所述至少一个入口通道将所述框架的第一外表面与所述框架的第一内表面相连接,其中所述第一内表面在所述窗口与所述掩模之间。所述框架还具有至少一个出口通道,所述至少一个出口通道将所述框架的第二外表面与所述框架的第二内表面相连接,其中所述框架的第二内表面在所述窗口与所述掩模之间。将工艺配件定位在ALD处理腔室内的步骤总体上包括使工艺配件的入口通道的开口周围的密封件抵压ALD处理腔室的入口歧管、使工艺配件的出口通道的开口周围的密封件抵压ALD处理腔室的出口歧管,以及使工艺配件的其他密封件抵压ALD处理腔室的差动泵和净化组件。此方法进一步包括使工艺气体经由所述入口歧管流到工艺配件中并且流出物气体经由所述出口歧管泵送流出物气体离开工艺配件。
附图说明
因此,为了能详细地理解本公开的上述特征的方式,可参考多个实施例得出以上简要概述的本发明的更具体的描述,并且在附图中示出实施例中的一些。然而应注意,所附附图仅示出本公开的典型实施例,并且因此不应视为本公开范围的限制,因为本公开可允许其他等效的实施例。
图1描绘根据本公开的特定方面的示例性处理系统。
图2描绘根据本公开的特定方面的用于ALD的示例性腔室的侧视图。
图3描绘根据本公开的特定方面的用于ALD的示例性腔室的前视图。
图4A和4B描绘根据本公开的各方面的处理腔室内的工艺配件。
图5描绘根据本公开的各方面的工艺配件。
图6A、6B和6C示出根据本公开的各方面的处理腔室中的工艺配件和基板的位置。
图7描绘根据本公开的特定方面的用于ALD的示例性腔室的前视图。
图8描绘根据本公开的各方面的工艺配件。
为了便于理解,在可能的情况下已使用相同的参考标号来标出附图中共有的相同元件。构想到,在一个实施例中公开的元件可有益地用于其他实施例中而无需详述。
具体实施方式
本公开的实施例包括处理系统,所述处理系统可操作来在基板上沉积多个层,所述多个层能够充当形成在基板上的OLED上的封装层。此系统包括多个处理腔室,每一个处理腔室可操作来沉积所述多个层中的一层或多层。处理系统进一步包括至少一个传送腔室以及至少一个负载锁定(load lock)腔室。此至少一个传送腔室能够在不破坏处理系统中的真空的情况下在多个处理腔室之间传送基板。此至少一个负载锁定腔室能够在不破坏处理系统中的真空的情况下装载基板以及从处理系统中移除基板。处理系统进一步包括掩模腔室,所述掩模腔室能够在不破坏处理系统中的真空的情况下装载和移除在处理腔室中使用的掩模。
本公开的实施例包括化学气相沉积(CVD)处理腔室,所述化学气相沉积处理腔室可操作来相对于基板对准掩模,将掩模定位在基板上,并执行CVD以在形成在基板上的OLED上沉积封装层。在CVD处理腔室中执行的CVD工艺可以是等离子体增强化学气相沉积(PECVD),但本文所描述的实施例可与其他类型的处理腔室一起使用并且不限于与PECVD处理腔室一起使用。由CVD处理腔室沉积的封装层可包括氮化硅SiN,但本文所描述的实施例可与其他类型的处理腔室一起使用并且不限于与SiN CVD处理腔室一起使用。
本公开的实施例包括原子层沉积(ALD)处理腔室,所述原子层沉积处理腔室可操作来相对于基板对准掩模,将掩模定位在基板上,并执行ALD以在形成在基板上的OLED上沉积封装层。在ALD处理腔室中执行的ALD工艺可以是时间分离的ALD(TS-ALD),但本文所描述的实施例可与其他类型的处理腔室一起使用并且不限于与TS-ALD处理腔室一起使用。由ALD处理腔室沉积的封装层可包括氧化铝Al2O3,但本文所描述的实施例可与其他类型的处理腔室一起使用并且不限于与SiN CVD处理腔室一起使用。
本文所描述的实施例可与其他类型的沉积工艺一起使用并且不限于用于封装形成在基板上的OLED。本文所描述的实施例可与各种类型、形状和尺寸的掩模以及基板一起使用。
基板不限于任何特定的尺寸或形状。一方面,术语“基板”是指任何多边形的、正方形的、矩形的、弯曲的或以其他方式非圆形的工件,例如,诸如在平板显示器的制造中所使用的玻璃基板。
在以下描述中,除非另有说明,术语“气体”和“多个气体”可被互换地使用并且指一个或多个前体、反应物、催化剂、运载气体、净化气体、清洁气体、流出物或上述各项的组合,以及任何其他流体。
图1是示出根据本公开的一个实施例的说明性处理系统100的剖面俯视图。处理系统100包括负载锁定腔室104、传送腔室106、在传送腔室106内的搬运(例如工具和材料搬运)机器人108、第一CVD处理腔室110、第二CVD处理腔室112、控制站114、ALD处理腔室116以及掩模腔室118。第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116以及每一个腔室的相关联的硬件优选地由一个或多个工艺兼容的材料(例如,诸如铝、阳极化的铝、镀镍的铝、不锈钢、石英以及上述各项的组合与合金)形成。如待涂覆的基板的形状以及其他处理要求所要求,第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116可以是圆形的、矩形或另一形状。
传送腔室106在与负载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116和掩模腔室118相邻的多个侧壁中包括狭缝阀开口121、123、125、127、129。搬运机器人108被定位且被配置成能够通过每个狭缝阀开口121、123、125、127、129来插入一个或多个工具(例如,基板搬运叶片)并且进入相邻的腔室。也就是说,搬运机器人可经由与其他腔室中的每一个相邻的传送腔室106的壁上的狭缝阀开口121、123、125、127、129将工具插入至负载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116和掩模腔室118内。利用狭缝阀120、122、124、126、128选择性地打开和关闭狭缝阀开口121、123、125、127、129以当基板、工具或其他物品要被插入相邻腔室中的一个腔室或从相邻腔室中的一个腔室移除时允许进出相邻腔室的内部。
传送腔室106、负载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116和掩模腔室118包括一个或多个孔(未示出),所述一个或多个孔与真空系统(例如真空泵)流体地连通。这些孔为各种腔室内的气体提供出口。在某些实施例中,这些腔室各自连接至分离且独立的真空系统。在其他实施例中,这些腔室中的一些腔室共享真空系统,而其他腔室具有分离且独立的真空系统。真空系统可包括真空泵(未示出)以及节流阀(未示出),以调节气体通过各种腔室的流量。
除了基板之外,掩模、掩模框架以及被放置在第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116内的其他物品可被称为“工艺配件(process kit)”。工艺配件物品可从处理腔室中移除以便清洁或替换。传送腔室106、掩模腔室118、第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116被设定尺寸为且被成形为允许掩模、掩模框架以及其他工艺配件物品在它们之间的传送。也就是说,传送腔室106、掩模腔室118、第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116被设定为且被成形为使得利用由每一狭缝阀开口121、123、125、127、129的对应狭缝阀120、122、124、126、128关闭所有的狭缝阀开口121、123、125、127、129来将任何工艺配件物品完全容纳在上述腔室中的一个腔室内。因此,工艺配件物品可被移除并替换而不破坏处理系统的真空,由于掩模腔室118充当气锁(airlock),从而允许从处理系统移除工艺配件物品而不破坏除了掩模腔室之外的任何腔室中的真空。此外,传送腔室106与掩模腔室118之间的狭缝阀开口129、传送腔室106与CVD处理腔室110、112之间的狭缝阀开口123、125,以及传送腔室106与ALD处理腔室116之间的狭缝阀开口127都被设定尺寸为且被成形为允许工艺配件物品在传送腔室106与掩模腔室118、CVD处理腔室110、112和ALD处理腔室116之间的传送。
掩模腔室118在掩模腔室118的与传送腔室106的狭缝阀开口129相对的一侧上具有门130和门口(doorway)131。门口被设定尺寸为且被成形为允许掩模和其他工艺工具传送进出掩模腔室118。门130能够在关闭时在门口131上形成气密密封。掩模腔室118被设定尺寸为且被成形为允许在门130关闭以及导向传送腔室106的狭缝阀128关闭两者的情况下完全地容纳在掩模腔室118内。也就是说,掩模腔室118被设定尺寸为且被成形为使得在掩模腔室118的门130没有打开的情况下可将任何工艺配件物品从传送腔室106移动至掩模腔室118中并且可关闭狭缝阀128。
为了描述的简洁和容易,现将描述在处理系统100内执行的示例性涂覆工艺。示例性涂覆工艺由工艺控制器来控制,工艺控制器可以是可位于控制站114处的计算机或计算机系统。
参照图1,基板的示例性处理可选择地开始于搬运机器人108从掩模腔室118取回掩模并将所述掩模放置在ALD处理腔室116中。将掩模放置在ALD处理腔室116中是可选的,因为掩模可根据较早的处理而留在ALD处理腔室116中,并且相同的掩模可用于处理多个基板。类似地,搬运机器人108可选择地可从掩模腔室118取回其他的掩模并将这些掩模放置在第一与第二CVD处理腔室110与112中。在将掩模放置在第一与第二CVD处理腔室110、112和ALD处理腔室116内的步骤中,可打开和关闭腔室之间适当的狭缝阀122、124、126、128。
接着,搬运机器人108从负载锁定腔室104取回基板并将此基板放置在第一CVD处理腔室110中。工艺控制器控制阀、致动器以及其他处理腔室的部件以执行CVD处理。工艺控制器使狭缝阀122关闭,使第一CVD处理腔室110与传送腔室106隔离。工艺控制器还使基板支撑构件或基座定位用于CVD处理的基板。如果搬运机器人未将掩模放置在正确的处理位置中,则工艺控制器可激活一个或多个致动器来定位掩模。替代地或另外,基座也可定位用于处理的掩模。掩模用来掩模基板的特定区域并且防止沉积出现在基板的那些区域上。
工艺控制器现在激活阀以开始使前体和其他气体流入第一CVD处理腔室110内。例如,前体气体可包括硅烷SiH4。工艺控制器控制加热器、等离子体放电部件和气体的流量以使CVD工艺发生并在基板上沉积材料层。在一个实施例中,经沉积的层可以是氮化硅SiN,然而本公开的实施例不限于此材料。如上所述,本公开的实施例还可以用来执行PECVD。在基板的示例性处理中的CVD工艺继续,直到经沉积的层达到所需的厚度。在一个示例性实施例中,所需的厚度为5000至10000埃(500至1000纳米)。
当第一CVD处理腔室110中的CVD工艺完成时,工艺控制器使第一CVD处理腔室110被抽真空,并且然后控制基座以使基板降低至传送位置。工艺控制器还使第一CVD处理腔室110与传送腔室106之间的狭缝阀122打开,并且然后引导搬运机器人108以从第一CVD处理腔室110中取回。工艺控制器然后使第一CVD处理腔室110与传送腔室106之间的狭缝阀122关闭。
接下来,工艺控制器使传送腔室106与ALD处理腔室116之间的狭缝阀126打开。搬运机器人108将基板放置在ALD处理腔室116中,并且工艺控制器使传送腔室106与ALD处理腔室116之间的狭缝阀126关闭。工艺控制器还使基板支撑构件或基座定位用于ALD处理的基板。如果掩模未被搬运机器人放置在正确的处理位置内,则工艺控制器可激活一个或多个致动器来定位掩模。替代地或另外,基座可定位用于处理的掩模。掩模用来掩模基板的特定区域并防止沉积发生在基板的那些区域上。
工艺控制器现在激活阀以开始使前体和其他气体流入ALD处理腔室116内。根据待执行的工艺或多个工艺来使用特定的气体或多种气体。气体可包括三甲基铝(CH3)3Al(TMA)、氮气N2和氧气O2,然而,气体并不限于此并且可包括一个或多个前体、反应物、催化剂、载体、净化气体、清洁气体,或上述各项的任何混合物或组合。可将气体从一侧引入ALD处理腔室内,并且所述气体跨基板流动。取决于处理系统的要求,工艺控制器可控制阀以使得在任何特定的时刻仅将一种气体引入至ALD处理腔室。
工艺控制器还控制功率源,所述功率源能够将气体激活成反应物种并维持反应物种的等离子体,以使反应物种与基板反应并涂覆基板。例如,可使用基于射频(RF)或微波(MW)的功率放电技术。也可通过基于热学的技术、气体击穿(gas breakdown)技术、高强度光源(例如UV能量)或暴露于X射线源来产生此激活。在示例性工艺中,氧气被激活为等离子体,且此等离子体与基板反应并在此基板上沉积氧气层。工艺控制器然后使TMA跨基板流动,且TMA与基板上的氧气层反应,从而在基板上形成一氧化铝层。工艺控制器重复以下步骤:使氧气流动;使氧气激活为等离子体;以及使TMA流动,以在基板上形成附加的层。工艺控制器继续重复所述的步骤,直到所沉积的氧化铝层达到所需的厚度。在一个示例性实施例中,所需的厚度为500至700埃(50至70纳米)。
当ALD处理腔室116中的ALD工艺完成时,工艺控制器使ALD处理腔室116被抽真空并且然后控制基座以使基板降低至传送位置。工艺控制器还使ALD处理腔室116与传送腔室106之间的狭缝阀126打开并且然后引导搬运机器人108以从ALD处理腔室116取回基板。工艺控制器然后使ALD处理腔室116与传送腔室106之间的狭缝阀126关闭。
仍参照图1,接下来,工艺控制器使传送腔室106与第二CVD处理腔室112之间的狭缝阀124打开。搬运机器人108将基板放置在第二CVD处理腔室112中,并且工艺控制器使传送腔室106与第二CVD处理腔室112之间的狭缝阀124关闭。第二CVD处理腔室112中的处理类似于上述的第一CVD处理腔室110中的处理。在基板的示例性处理中,在第二CVD处理腔室112中执行的CVD工艺继续,直到经沉积的层达到所需的厚度。在一个示例性实施例中,所需的厚度为5000至10000埃(500至1000纳米)。
因此,当第二CVD处理腔室112中的工艺完成时,基板将被涂覆有5000至10000埃厚的第一SiN层、500至700埃厚的Al2O3层以及5000至10000埃厚的第二SiN层。与单独的SiN相比,Al2O3层被认为降低穿透封装层的水蒸气透过率,因此与利用单独的SiN来封装相比,改善了封装的可靠度。
在参照图1在上述的示例性工艺中,CVD处理腔室110、112和ALD处理腔室116中的每一者被装载有掩模。或者,处理系统100可执行工艺,其中掩模与基板从处理腔室一同移动至处理腔室。也就是说,在第二示例性工艺中,基板与掩模被(同时地或独立地)放置在第一CVD处理腔室110中,且传送腔室106与第一CVD处理腔室110之间的狭缝阀122被关闭。然后在基板上执行CVD工艺。然后将基板与掩模(同时地或独立地)移动到ALD处理腔室116中,且传送腔室106与ALD处理腔室116之间的狭缝阀126被关闭。然后在基板上执行ALD工艺。然后将基板与掩模(同时地或独立地)移动到第二CVD处理腔室112中。然后在基板上执行CVD工艺,然后从第二CVD处理腔室112中移除基板和掩模。例如,可从处理系统100中移除基板,如果完成,则可使用掩模来处理新的基板,或将掩模从处理系统100移除以便清洁。
图2是示出根据本公开的实施例的具有处于处理位置的工艺配件250的说明性ALD处理腔室200的部分剖面侧视图。在下文参照图4和图5更加详细地描述工艺配件。图2所示的ALD处理腔室类似于图1所示的ALD处理腔室116。在一个实施例中,处理腔室200包括腔室主体202、盖组件204、基板支撑组件206、工艺气体入口组件(参见图3)以及泵送口组件(参见图3)。盖组件204设置在腔室主体202的上端处,基板支撑组件206至少部分地设置在腔室主体202内。
腔室主体202包括形成在其侧壁中的狭缝阀开口208以提供对ALD处理腔室200的内部的进出。如上参照图1所述,狭缝阀开口208选择性地打开与关闭以允许通过搬运机器人(参见图1)来进出腔室主体202的内部。
在一个或多个实施例中,腔室主体202包括与真空系统(例如真空泵)流体地连通的一个或多个孔(未示出)。这些孔为处理腔室内部的气体提供出口。真空系统由工艺控制器来控制以维持ALD处理腔室内的压力适合于ALD工艺。在本公开的一个实施例中,ALD处理腔室中的压力(例如通过工艺控制器)维持在500至700毫托(mTorr)的压力。
盖组件204可包括一个或多个差动泵和净化组件220。差动泵和净化组件利用波纹管(bellow)222安装到盖组件。波纹管222允许差动泵和净化组件220以相对于盖组件204竖直地移动,同时仍维持密封以防漏气。当工艺配件250被抬升到处理位置中时,使得在工艺配件250上的顺应性第一密封件286和顺应性第二密封件288与差动泵和净化组件220接触。当工艺配件250处于处理位置中时,第一和第二密封件286、288被压缩,且差动泵和净化组件220可以移动以维持第一和第二密封件286、288上的期望的压缩力。第一和第二密封件286、288可例如由与暴露于工艺气体和流出物相容的橡胶或塑料材料制成。差动泵和净化组件220与真空系统(未示出)连接且维持在低的压力下。当ALD处理腔室200中发生处理时,与差动泵和净化组件220连接的真空系统(未示出)被控制以抽真空至等于或低于ALD处理腔室200的压力的压力。例如,当发生处理且ALD处理腔室200中的压力维持在500至700毫托(mTorr)(参见上述)时,差动泵和净化组件220抽真空至400至500毫托。通过抽真空至低于ALD处理腔室200的压力的压力,差动泵和净化组件220可防止任何泄漏通过工艺配件250上的密封件的气体进入ALD处理腔室200。如果在第一和第二密封件286、288中存在泄漏,差动泵和净化组件220内的较低的压力使ALD处理腔室200内的气体泄漏到差动泵和净化组件220内,而不使气体从差动泵和净化组件220泄漏至ALD处理腔室200内。
处理腔室200可包括阀块(valve block)组件(未示出)。阀块组件包括一组阀并控制进入ALD处理腔室200的各种气体(例如工艺气体、运载气体和净化气体)的流动。
仍参照图2,盖组件204包括射频(RF)阴极210,可在处理腔室200和/或工艺配件250(参见参照图4的下述内容)内产生反应物种的等离子体。在ALD处理腔室200中的处理期间,RF阴极210的温度被控制(例如通过工艺控制器)来影响工艺配件250和基板232的温度并改善ALD处理的表现。可例如由ALD处理腔室200中的高温计(未示出)或其他传感器来测量RF阴极210的温度。可例如由电加热元件(未示出)来加热RF阴极210,并且例如由冷却液的循环来冷却RF阴极210。可使用能够将气体激活成反应物种并维持反应物种的等离子体的任何功率源。例如,可使用基于射频(RF)或微波(MW)的功率放电技术。也可通过基于热学的技术、气体击穿技术、高强度光源(例如UV能量)或暴露于X射线源来产生此激活。
仍参照图2,基板支撑组件206可至少部分地设置在腔室主体202内。基板支撑组件可包括基板支撑构件或基座230以支撑用于在腔室主体内处理的基板232。根据本公开的实施例,基座也可支撑(参见图4)工艺配件250。基座可通过轴224或多个轴224耦接至基板升降机构(未示出),所述轴延伸通过形成在腔室主体的底表面中的一个或多个开口226。基板升降机构可通过波纹管228可灵活地密封到腔室主体,所述波纹管防止真空从轴的周围泄漏。基板升降机构允许基座230在ALD处理腔室200内且在较低的机器人进入位置(如图所示)与处理、工艺配件传送和基板传送位置之间垂直地移动。在一些实施例中,基板升降机构在比所述那些位置少的位置之间移动。
在一个或多个其他的实施例中,如基板的形状和其他处理要求所要求,基座230具有平坦的、矩形的表面或基本上平坦的、矩形的表面。在一个或多个实施例中,可使用真空夹盘(未示出)、静电夹盘(未示出)或机械夹紧件(未示出)将基板232固定至基座。在ALD处理腔室200中的处理期间,基座230的温度可被控制(例如通过工艺控制器)来影响基板232和工艺配件250的温度并改善ALD处理的表现。例如,可由基座230内的电加热元件(未示出)来加热基座230。例如,可由ALD处理腔室200中的高温计(未示出)来确定基座的温度。
仍参照图2,基座230可包括穿透基座的一个或多个孔234以容纳一个或多个升降销(lift pin)236。每一升降销典型地由陶瓷或含陶瓷的材料构成,并用于基板搬运和传输上。每一升降销236被安装成使得它们在孔234内自由地滑动。一方面,每一孔利用陶瓷套管作衬里以有助于升降销自由地滑动。每一个升降销通过在支撑组件206被降低时接触腔室主体202而可在相应的孔234内移动,如图2所描绘。支撑组件206是可移动的,以使得当支撑组件206处于较低的位置时,升降销236的上表面可位于基座230的基板支撑表面238的上方。相反地,当支撑组件206处于抬升的位置时,升降销236的上表面位于基座230的上表面238的下方。因此,当支撑组件206从下位置移动至上位置时,每个升降销236的一部分穿过基座230中的其相应的孔234,反之亦然。
当接触腔室主体202时,升降销236推压基板232的下表面,将基板抬离基座230。相反地,基座230可将基板232抬离升降销236。升降销236可包括扩大的上端或锥形头以防止升降销236从基座230掉落。其他的销设计也可被利用并且对本领域技术人员而言是公知的。
在一个实施例中,升降销236中的一个或多个包括设置在其上的涂层或附着物,所述涂层或附着物由防滑或高摩擦力材料制成,以当在其上支撑基板时防止基板232滑动。优选的材料为耐热的聚合材料,此材料不会刮伤或以其他方式损坏基板232的背面,否则会在ALD处理腔室200内产生污染物。
在某些实施例中,基座包括工艺配件绝缘按钮237,所述工艺配件按钮237可包括一个或多个顺应性密封件239。工艺配件绝缘按钮237可用来运载基座230上方的工艺配件250。当基座将工艺配件250抬升至处理位置(参见下述处理的讨论并参照图1至图5)内时,工艺配件绝缘按钮237中的一个或多个顺应性密封件239被压缩。工艺配件绝缘按钮237可由具有高电阻的氧化铝Al2O3或另一材料制成以将基座与因处理而在工艺配件上所感应的电荷隔离。
往回参照图2,基座230可在腔室主体202内竖直地移动,使得基座230接触工艺配件250(参见图4)。在工艺配件250移动到处理腔室200中或移出处理腔室200的移动过程的部分期间,工艺配件250可在基座230上方。可控制工艺配件250与RF阴极210之间的距离。例如,光学或其他传感器(未示出)可提供关于腔室200内的基座230的位置的信息。
图3是图2所描绘的ALD处理腔室200的部分剖面图。也就是说,图3示出与图2中所示的相同的ALD处理腔室,但来自不同的视角。图3中可见工艺气体入口组件310和泵送端口组件330。
工艺气体入口组件310将工艺气体供应至ALD处理腔室200。所使用的工艺气体可包括三甲基铝(TMA)Al2(CH3)6、氧气O2以及氮气N2。可以连续流动地或脉冲地、独立地或一同地供应多种工艺气体。工艺气体入口组件310包括一个或多个入口管312、波纹管314、入口歧管316、入口接触表面318以及密封件320。
将工艺气体从工艺气体源(例如槽或管线,未示出)供应至一个或多个入口管312。例如,由控制阀块(未示出)中的一个或多个阀的操作的工艺控制器(未示出)来控制工艺气体的流动。一个或多个入口管312通过波纹管314与ALD处理腔室200连接。波纹管314允许一个或多个入口管312和入口歧管316相对于ALD处理腔室200移动(例如,当工艺配件250接触入口接触表面318时,如图4所示),而不允许空气泄漏到ALD处理腔室200中。工艺气体流经入口管312并进入到入口歧管316中。
工艺气体流经入口歧管316,经过入口接触表面318中的一个或多个通道322,并进入到工艺配件250中的一个或多个入口通道354(也参见图4)中。入口接触表面318可由与对工艺气体和流出物气体的暴露兼容的任何材料制成,例如是聚四氟乙烯(PTFE)。一个或多个密封件320密封入口歧管316与入口接触表面318之间的接合处,以禁止工艺气体泄漏到ALD处理腔室200中。
流出物气体(包括反应产物和未反应的工艺气体)经由泵送端口组件330泵送到工艺配件250中的一个或多个出口通道356外。泵送端口组件包括一个或多个出口管332、波纹管334、出口歧管336、出口接触表面338和密封件340。
来自工艺配件250内的流出物气体(参见下文参照图4的ALD处理的描述)经由一个或多个出口通道356离开工艺配件250。流出物气体流经出口通道356并进入到出口接触表面338中的一个或多个通道342中(也参见图4)。
流出物气体流经出口接触表面338中的通道342并进入到出口歧管336中。出口接触表面338可由与对工艺气体和流出物气体的暴露兼容的顺应性材料(例如是聚四氟乙烯(PTFE))制成。一个或多个密封件340密封出口接触表面338与出口歧管336之间的接合处,以防止工艺气体泄漏到ALD处理腔室200中。
流出物气体流经出口歧管336并进入到一个或多个出口管332中。波纹管334允许一个或多个出口管332和出口歧管336相对于ALD处理腔室200移动(例如,当工艺配件250接触出口接触表面338时,如图4所示),而不允许空气泄漏到ALD处理腔室200中。
流出物气体由真空系统(未示出)泵送到一个或多个出口管332外。
图4A和图4B示出ALD处理腔室200的工艺配件250和基座230以及盖组件204的部分剖面前视图。图4B示出图4A的指定部分的放大图,以更清楚地呈现细节。所描绘的部件处于处理位置中,并且针对执行ALD定位基板232。
根据本公开的实施例,工艺配件250可包括掩模458、窗口460以及框架组件470。工艺配件250具有至少一个入口通道354,所述至少一个入口通道354将框架组件470的第一外表面402与框架组件470的第一内表面404相连接且位于掩模458与窗口460之间。工艺配件250还具有至少一个出口通道356,所述至少一个出口通道356将框架组件470的第二外表面410与第二内表面412相连接且位于掩模458与窗口460之间。如图4A所示,当工艺配件250处于处理位置时,至少一个入口通道354与工艺气体入口组件310的入口接触表面318中的一个或多个通道322对准。而且,当工艺配件250处于处理位置时,至少一个出口通道356与泵送端口组件330的出口接触表面338中的一个或多个通道342对准。
在本公开的一些实施例中,框架组件470可包括上构件472、窗口夹紧构件474、中间构件476以及下构件478。在工艺配件250包括窗口夹紧构件474的实施例中,窗口460被夹在窗口夹紧构件474与上构件472之间。
参照图4A和图4B,在本公开的一些实施例中,工艺配件250进一步包括至少一个窗口密封件480、围绕入口通道354的开口的至少一个密封件482、围绕出口通道356的开口的至少一个密封件484、在框架组件470的上表面上的第一密封件286、在框架组件470的上表面上的第二密封件288,以及一个或多个密封件490。在包括窗口密封件480的实施例中,由窗口密封件480和窗口夹紧构件474来固持窗口460,其中窗口460位于窗口密封件480与窗口夹紧构件474之间。窗口密封件480、围绕入口通道354的开口的至少一个密封件482、围绕出口通道356的开口的至少一个密封件484、第一密封件286、第二密封件288以及密封件490都可由与对处理气体和流出物气体的暴露兼容的顺应性材料(例如橡胶、PTFE)制成。
掩模458和下构件478可由不变钢(Invar)或与对工艺和流出物气体的暴露兼容的并具有低热膨胀系数的任何材料制成。期望掩模458与下构件478由具有低热膨胀系数的材料制成,以减低因处理期间的温度的差异而造成的所沉积的涂层的位置的变化。也就是说,如果掩模458和固持掩模458的框架构件(例如,框架下构件478)由具有低热膨胀系数的材料制成,则减低了因温度的变化而造成的掩模位置的变化。
框架组件470的上构件472与中间构件476可由铝、阳极化的铝、镀镍铝、不锈钢、石英或与对工艺气体和流出物气体的暴露兼容的其他材料制成。
在ALD处理腔室200中的ALD处理期间,基座230将基板232定位在工艺配件250的掩模458的正下方。当基座230正在定位基板232时,基座230也将工艺配件250按压成与差动泵和净化组件220(参见图2)、入口接触表面318(参见图3)和出口接触表面338(参见图3)接触。将工艺配件按压成与差动泵和净化组件220(参见图2)、入口接触表面318(参见图3)及出口接触表面338(参见图3)接触致使围绕入口通道354的开口的至少一个密封件482、围绕出口通道356的开口的至少一个密封件484、第一密封件286以及第二密封件288的压缩。围绕入口通道354的开口的至少一个密封件482、围绕出口通道356的开口的至少一个密封件484、第一密封件286以及第二密封件288都禁止工艺气体和/或流出物气体泄漏到ALD处理腔室200中。
在本公开的其他实施例中,由分离的机械夹盘(未示出)、真空夹盘(未示出)或磁性夹盘(未示出)将工艺配件250固持在抵靠差动泵和净化组件220(参见图2)、入口接触表面318(参见图3)和出口接触表面338(参见图3)的位置中。当被各种夹盘中的一个固持时,工艺配件250可被固持为抵靠差动泵和净化组件220(参见图2)、入口接触表面318(参见图3)和出口接触表面338(参见图3),其中各密封件482、484、286、288被压缩,或者工艺配件250可被固持在各密封件482、484、286、288中的某些或所有密封件未被压缩的位置中。
图5示出示例性工艺配件250的俯视图。如图所示,在工艺配件250的俯视图中,可见窗口460、窗口夹紧构件474、上构件472、入口通道354的开口,以及出口通道356的开口。也可见围绕入口通道354的开口的密封件482、围绕出口通道356的开口的密封件484、框架的上表面上的第一密封件286,以及框架的上表面上的第二密封件288。将窗口夹紧构件474连接至上构件472的各种螺丝未在图5中示出,以使得可更清楚地看见其他特征。
虽然图5中示出的示例性工艺配件250仅具有单一狭缝形(即,具有高的长宽比,例如4比1)开口至入口通道354,但是本公开并不限于此。虽然所示出的狭缝形开口具有锐角,但是本公开的实施例可具有带有圆形端的狭缝形开口。此外,本公开的实施例可使用许多其他形状的开口,例如可使用方形、卵形和矩形的开口至入口通道354。并且,本公开的实施例可使用多于一个的入口通道354,各入口通道354具有对应的开口或多个开口。各开口可被密封件482围绕,或者多于一个的开口可被一个密封件482围绕。
类似地,虽然图5中示出的示例性工艺配件250仅具有单一狭缝形开口至出口通道356,但是本公开并不限于此。虽然所示出的狭缝形开口具有锐角,然而本公开的实施例可具有带有圆形端的狭缝形开口。此外,本公开的实施例可使用许多其他形状的开口,例如可使用方形、卵形和矩形开口至出口通道356。并且,本公开的实施例可使用多于一个的出口通道356,各出口通道356具有对应的开口或多个开口。各开口可被密封件484围绕,或者多于一个的开口可被一个密封件484围绕。
工艺配件250的窗口460可例如由石英制成,或者由既允许辐射能(例如红外线、紫外线或RF能量)穿透进入工艺配件250内又与对工艺气体和流出物气体的暴露兼容的另一材料制成。
窗口夹紧构件474可由氧化铝Al2O3制成,或者由可夹紧窗口460的石英或其他材料而不会因暴露于用来将工艺气体转换为反应物种(例如来自RF阴极210的RF能量)的能量(例如红外线、紫外线或RF能量)所破坏的材料制成。
各种密封件482、484、286和288可由PTFE、橡胶或与对工艺气体和流出物气体的暴露兼容的另一顺应性材料制成。
为了进一步描述工艺配件250,参照图1至图5,现将描述在ALD处理腔室200中使用工艺配件250来执行的示例性ALD工艺。
在示例性ALD工艺中,当搬运机器人108(参见图1)在工艺控制器(未示出)的引导下将基板232放置在ALD处理腔室200的升降销236上(参见图2)时,工艺配件250存在于ALD处理腔室200中(参见图2)。搬运机器人108借助叶片或其他机器人工具将基板232放置在ALD处理腔室200中,其中搬运机器人108将所述叶片或其他机器人工具经由狭缝阀开口208(参见图2)插入ALD处理腔室200。
工艺控制器然后引导基板支撑组件206(参见图2)将基板232抬升至工艺配件250的掩模458下方的处理位置中(参见图4A)。当基板232处于工艺位置中时,工艺控制器开始使工艺气体经由工艺气体入口组件310(参见图3)流进ALD处理腔室200内。工艺气体可以作为多个前体(例如TMA和O2)与运载气体(例如氦气)的混合物来流动,或者,如果要执行时间分离的ALD(TS-ALD),则以来自每一个其他前体气体源的分离的脉冲的形式使每一个前体气体(可能与运载气体混合)流动。
工艺气体流经入口歧管316、流经入口接触表面318中的一个或多个通道322,并进入工艺配件的一个或多个入口通道354(参见图3)。工艺气体流经入口通道354并进入工艺配件250的窗口460与掩模458之间的容积内(参见图4A)。当工艺气体在窗口460与掩模458之间的容积中时,工艺气体可被ALD处理腔室200的RF阴极210(或激活工艺气体的其他手段)激活成反应物种(例如等离子体)。因为窗口460允许激活射线(或其他能量)穿透到工艺配件250中,所以可在工艺配件250内激活工艺气体。例如,可在窗口460与掩模458之间的容积内将氧气激活成等离子体。
工艺气体和工艺气体的任何被激活的物种与基板232反应并涂覆基板232。例如,氧气的等离子体可与基板232反应并涂覆基板232。在此示例中,TMA然后可与涂覆在基板上的氧气反应,从而在基板上形成氧化铝层。掩模458控制基板的暴露,使得材料的涂层沉积在基板232的期望的位置,而不沉积在基板的不期望涂层的区域中。
流出物气体(例如反应产物和未反应的工艺气体)经由一个或多个出口通道356(参见图3和图4)泵送到工艺配件250外。流出物气体从一个或多个出口通道356流入出口接触表面中的一个或多个通道342内,流经出口歧管336并进入一个或多个出口管332中,如上所述。
某些工艺气体可能经过围绕入口通道354的开口的一个或多个密封件482泄漏。经过(多个)密封件482泄漏的工艺气体被工艺配件250的框架的上表面上的第一密封件286和第二密封件288禁止移动到ALD处理腔室200的其他部分中(参见图5)。此外,经过(多个)密封件482泄漏的工艺气体可被差动泵和净化组件220泵送到ALD处理腔室200外(参见图2)。
某些流出物气体可能经过围绕出口通道356的开口的一个或多个密封件484泄漏。经过(多个)密封件484泄漏的流出物气体被工艺配件250的框架的上表面上的第一密封件286和第二密封件288禁止移动到ALD处理腔室200的其他部分中(参见图5)。此外,经过(多个)密封件484泄漏的流出物气体可被差动泵和净化组件220泵送到ALD处理腔室200外(参见图2)。
图6A、6B和6C示出在执行处理的准备过程中,在示例性ALD处理腔室200中的工艺配件和基板的放置期间,工艺配件和基板的位置的前视图(即,来自与图3相同的视角)。图6A示出紧接着工艺配件250已被放置在ALD处理腔室200中之后的工艺配件250的位置。搬运机器人108(参见图1)将工艺配件250经由狭缝阀开口208(参见图2)放置在ALD处理腔室200中。由搬运机器人108将工艺配件250放置在工艺配件对准销602上。工艺配件对准销602具有圆锥形或其他形状的端部,帮助工艺配件250对准工艺配件250的处理位置。
工艺配件对准销602与工艺配件升降机构(未示出)连接,所述工艺配件升降机构可抬升或降低工艺配件对准销602。在搬运机器人将工艺配件250放置在工艺配件对准销602上后,工艺配件升降机构抬升工艺配件对准销602,从而抬升工艺配件250。
图6B示出处于抬升位置中的工艺配件对准销602和工艺配件250。图6B中所示的抬升位置可称为基板装载位置。当工艺配件对准销602和工艺配件250处于基板装载位置时,搬运机器人(参见图1)可将基板232经由狭缝阀开口208(参见图2)放置在ALD处理腔室200内。基板232放置在升降销236上。搬运机器人108然后撤回用来将基板放置在ALD处理腔室200内的工具(例如叶片),且工艺控制器使狭缝阀开口208关闭。当搬运机器人108已从ALD处理腔室200中撤回工具后,基板升降机构(未示出)可抬升一个或多个轴224(参见图2),所述一个或多个轴224抬升基座230。
图6C示出处于处理位置中的工艺配件250、基座230和基板232。如在图4和图4A中更加详细地示出,工艺配件250被基座230上升到处理位置中。当工艺配件250处于处理位置时,工艺配件250与入口接触表面318和出口接触表面338接触。
当处理完成时,基板升降机构(未示出)降低基座230。如图6B所示,工艺配件250停留在工艺配件对准销602上,且基板232停留在升降销236上。
图7是来自所描绘的示例性ALD处理腔室700的前方的部分剖面视图。示例性ALD处理腔室700类似于图2中所描绘的示例性ALD处理腔室200。图7中可见两个泵送端口组件730a、730b。
工艺气体经由一个或多个入口702供应至ALD处理腔室700。工艺气体可在工艺气体流进ALD处理腔室700的内部之前进入气室704。可以连续流动地或脉冲地、独立地或一同地供应工艺气体。工艺气体中的一些或所有工艺气体可在它们流进ALD处理腔室的内部前,在气室704中被激活成反应物种(例如等离子体)。
将工艺气体从工艺气体源(例如槽或管线,未示出)供应至一个或多个入口管712a、712b。例如由控制阀块(未示出)中的一个或多个阀的操作的工艺控制器(未示出)来控制工艺气体的流动。
工艺气体流经气室704,流经一个或多个入口702,并流进工艺配件750中的一个或多个入口通道754(也参见图8)。一个或多个密封件720密封入口702与入口通道754之间的接合处,以禁止工艺气体泄漏到ALD处理腔室700中。
经由泵送端口组件730a、730b将流出物气体(包括反应产物和未反应的工艺气体)泵送到工艺配件750中的一个或多个出口通道756a、756b外。泵送端口组件730a、730b包括一个或多个出口管732a、732b、波纹管734a、734b、出口歧管736a、736b、出口接触表面738a、738b以及多个密封件740a、740b。
来自工艺配件750内的流出物气体(参见上文参照图4的ALD处理的描述)经由一个或多个出口通道756a、756b离开工艺配件750。流出物气体流经出口通道756a、756b并进入出口接触表面738a、738b中的一个或多个通道742a、742b内。
流出物气体流经出口接触表面738a、738b中的通道742a、742b并流进出口歧管736a、736b内。出口接触表面738a、738b可由与对工艺气体和流出物气体的暴露兼容的任何顺应性的材料(例如是聚四氟乙烯(PTFE))制成。一个或多个密封件740a、740b密封出口接触表面738a、738b与出口歧管736a、736b之间的接合处,以禁止工艺气体泄漏到ALD处理腔室700中。
流出物气体流经出口歧管736a、736b并流进一个或多个出口管732a、732b内。波纹管734a、734b允许一个或多个出口管732a、732b和出口歧管736a、736b相对于ALD处理腔室700移动(例如,如图所示,当工艺配件750接触出口接触表面738a、738b时),而不允许空气泄漏到ALD处理腔室700的其他部分中。
流出物气体被真空系统(未示出)泵送到一个或多个出口管732a、732b外。
图8示出示例性工艺配件750的俯视图。此示例性工艺配件750具有与图2中所示出的示例性工艺配件250的某些相似性,类似的术语用来描述类似的部件。如图所示,在工艺配件750的俯视图中,可见两个窗口760a、760b、窗口夹紧构件774a、774b、上构件772、入口通道754的开口以及出口通道756a、756b的两个开口。也可见围绕入口通道754的开口的一个密封件782、围绕出口通道756a、756b的开口的两个密封件784a、784b,以及在框架的上表面上的第一密封件786。应注意,窗口760a、760b和窗口夹紧构件774a、774b是可选择的。如果不存在窗口760a、760b和窗口夹紧构件774a、774b,则上构件772可包围工艺配件750的内部。将窗口夹紧构件774a、774b连接至上构件772的各种螺丝并未在图8中示出,因此更可清楚地看见其他特征。
虽然在图8中示出的示例性工艺配件750仅具有单一狭缝形(即,具有高长宽比,例如4比1)开口至入口通道754,但是本公开并不限于此。虽然所示出的狭缝形开口具有锐角,但是本公开的实施例可具有带有圆形端的狭缝形开口。此外,本公开的实施例可使用许多其他形状的开口,例如可使用方形、卵形和矩形的开口至入口通道754。并且,本公开的实施例可使用多于一个的入口通道754,各入口通道754具有对应的开口或多个开口。每一个开口可被密封件782围绕,或者多于一个的开口可被一个密封件782围绕。
类似地,虽然图8中示出的示例性工艺配件750具有两个单一狭缝形开口至出口通道756a、756b,但是本公开不限于此。虽然所示出的狭缝形开口具有锐角,但是本公开的实施例可具有带有圆形端的狭缝形开口。此外,本公开的实施例可使用许多其他形状的开口,例如可使用方形、卵形和矩形的开口至出口通道756a、756b。并且,本公开的实施例可使用多于一个的出口通道756a、756b,各出口通道756a、756b具有对应的开口或多个开口。每一个开口可被密封件784a、784b围绕,或者多于一个的开口可被一个密封件784a、784b围绕。
工艺配件750的窗口760a、760b可例如由石英制成,或者由既允许辐射能(例如红外线、紫外线或RF能量)穿透到工艺配件750内、又与对工艺气体和流出物气体的暴露兼容的另一材料制成。
窗口夹紧构件774a、774b可由氧化铝Al2O3制成,或者由可夹紧窗口760a、760b的石英或其他材料而不会因暴露于将工艺气体转换至反应物种所使用的能量(例如红外线、紫外线或RF能量)而损坏的另一材料制成。
各种密封件782、784a、784b和786可由PTFE、橡胶或与对工艺气体和流出物气体的暴露兼容的另一顺应性材料制成。
如上参照图1描述的工艺控制器可在存储在计算机的硬盘驱动器上的计算机程序的控制下操作。例如,计算机程序可指定工艺顺序与时序、气体的混合、腔室压力、RF功率级别、基座定位、狭缝阀打开与关闭,以及特定工艺的其他参数。
为了提供对前述讨论的更好的理解,提供以上非限制性示例。尽管这些示例可能针对特定的实施例,但是这些示例不应被解释为在任何特定方面对本公开进行限制。
除非有另有说明,在说明书和权利要求书中使用的表达成分数量的所有数字、性质、反应条件等等要理解为近似值。这些近似值基于本公开寻求获得的期望性质以及测量误差,并且应当至少按照所报告的有效数字的数目并应用一般的舍入技术来解释。此外,本文所表达的数量中的任何一个(包括温度、压力、间距、摩尔比率、流率等等)都可被进一步优化以获得期望的层与粒子性能。
虽然上述内容针对本公开的实施例,但是可设计本公开的其他的和进一步的实施例而不背离本公开的基本范围,并且本公开的范围由所附权利要求书确定。

Claims (20)

1.一种在原子层沉积(ALD)腔室中使用的工艺配件,所述工艺配件包括:
窗口;
掩模,平行于所述窗口设置;以及
框架,与所述窗口和所述掩模连接,其中所述框架具有至少一个入口通道,所述至少一个入口通道将所述框架的第一外表面与所述框架的第一内表面相连接,所述第一内表面位于所述窗口与所述掩模之间,并且其中所述框架具有至少一个出口通道,所述至少一个出口通道将所述框架的第二外表面与所述框架的第二内表面相连接,所述框架的所述第二内表面位于所述窗口与所述掩模之间。
2.如权利要求1所述的工艺配件,其中所述窗口包括石英。
3.如权利要求1所述的工艺配件,其中所述掩模包括不变钢。
4.如权利要求1所述的工艺配件,其中所述框架包括上构件、窗口夹紧构件、中间构件以及下构件,所述下构件与所述掩模连接,其中所述窗口被固持在所述窗口夹紧构件与所述上构件之间,所述上构件与所述中间构件连接,且所述中间构件与所述下构件连接。
5.如权利要求4所述的工艺配件,其中所述上构件包括窗口密封件,且所述窗口被固持在所述窗口密封件与所述窗口夹紧构件之间。
6.如权利要求1所述的工艺配件,其中进入所述至少一个入口通道的所述第一外表面上的开口是狭缝形的。
7.如权利要求1所述的工艺配件,其中进入所述至少一个出口通道的所述第二外表面上的开口是狭缝形的。
8.如权利要求1所述的工艺配件,进一步包括:
所述框架的所述第一外表面上的至少一个密封件,所述至少一个密封件围绕所述至少一个入口通道的开口。
9.如权利要求8所述的工艺配件,其中所述至少一个密封件包括橡胶。
10.如权利要求1所述的工艺配件,进一步包括:
所述框架的所述第二外表面上的至少一个密封件,所述至少一个密封件围绕所述至少一个出口通道的开口。
11.如权利要求10所述的工艺配件,其中所述至少一个密封件包括橡胶。
12.如权利要求1所述的工艺配件,进一步包括:
所述框架的上表面上的至少一个内密封件,所述至少一个内密封件围绕所述窗口。
13.如权利要求12所述的工艺配件,其中所述至少一个内密封件包括橡胶。
14.如权利要求12所述的工艺配件,进一步包括:
所述框架的上表面上的至少一个外密封件,所述至少一个外密封件围绕所述至少一个内密封件。
15.如权利要求14所述的工艺配件,其中所述至少一个外密封件包括橡胶。
16.一种用于处理基板的设备,包括:
腔室主体;
基座;
至少一个工艺配件对准销;
至少一个工艺气体入口;
至少一个泵送端口;以及
工艺配件,其中所述工艺配件包括窗口、平行于所述窗口设置的掩模,以及与所述窗口和所述掩模连接的框架,其中所述框架具有至少一个入口通道,所述至少一个入口通道将所述框架的第一外表面与所述框架的第一内表面相连接,所述第一内表面位于所述窗口与所述掩模之间,并且其中所述框架具有至少一个出口通道,所述至少一个出口通道将所述框架的第二外表面与所述框架的第二内表面相连接,所述框架的所述第二内表面位于所述窗口与所述掩模之间。
17.如权利要求16所述的设备,其中所述基座可操作以将所述工艺配件上升到处理位置中。
18.如权利要求17所述的设备,其中当所述工艺配件处于所述处理位置中时,所述工艺配件的至少一个入口通道与所述至少一个工艺气体入口对准,且所述工艺配件的至少一个出口通道与所述至少一个泵送端口对准。
19.如权利要求16所述的设备,进一步包括:
至少一个差动泵和净化组件。
20.如权利要求19所述的设备,进一步包括:
盖组件,其中所述至少一个差动泵和净化组件与所述盖组件连接,且所述至少一个差动泵和净化组件可操作来相对于所述盖组件移动。
CN201580005179.2A 2014-01-21 2015-01-20 允许低压力工具替换的薄膜封装处理系统和工艺配件 Active CN106415876B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201461929786P 2014-01-21 2014-01-21
US61/929,786 2014-01-21
US201462075794P 2014-11-05 2014-11-05
US62/075,794 2014-11-05
US201462076342P 2014-11-06 2014-11-06
US62/076,342 2014-11-06
PCT/US2015/011956 WO2015112470A1 (en) 2014-01-21 2015-01-20 Thin film encapsulation processing system and process kit permitting low-pressure tool replacement

Publications (2)

Publication Number Publication Date
CN106415876A CN106415876A (zh) 2017-02-15
CN106415876B true CN106415876B (zh) 2018-06-26

Family

ID=53681861

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580005071.3A Active CN105934837B (zh) 2014-01-21 2015-01-20 允许低压工具替换的原子层沉积处理腔室
CN201580005179.2A Active CN106415876B (zh) 2014-01-21 2015-01-20 允许低压力工具替换的薄膜封装处理系统和工艺配件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201580005071.3A Active CN105934837B (zh) 2014-01-21 2015-01-20 允许低压工具替换的原子层沉积处理腔室

Country Status (6)

Country Link
US (2) US10184179B2 (zh)
JP (2) JP6495301B2 (zh)
KR (3) KR102302720B1 (zh)
CN (2) CN105934837B (zh)
TW (2) TWI670389B (zh)
WO (2) WO2015112467A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6056673B2 (ja) * 2013-06-14 2017-01-11 東京エレクトロン株式会社 ガス処理装置
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
EP3414357B1 (en) * 2016-02-10 2020-06-17 Beneq OY An apparatus for atomic layer deposition
US20170352562A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Dodecadon transfer chamber and processing system having the same
JP6723116B2 (ja) * 2016-08-31 2020-07-15 株式会社日本製鋼所 原子層成長装置および原子層成長方法
KR102349330B1 (ko) * 2016-12-02 2022-01-07 어플라이드 머티어리얼스, 인코포레이티드 박막 캡슐화 처리 시스템 및 프로세스 키트
US11251019B2 (en) 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US10679827B2 (en) * 2017-01-25 2020-06-09 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity
US11127778B2 (en) 2017-02-24 2021-09-21 Flexucell Aps Light emitting transducer
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
DE102017105379A1 (de) * 2017-03-14 2018-09-20 Aixtron Se Substrathalteranordnung mit Maskenträger
DE102017105374A1 (de) 2017-03-14 2018-09-20 Aixtron Se Vorrichtung zum Abscheiden einer strukturierten Schicht auf einem Substrat sowie Verfahren zum Einrichten der Vorrichtung
US10312475B2 (en) * 2017-05-15 2019-06-04 Applied Materials, Inc. CVD thin film stress control method for display application
EP3642386B1 (en) 2017-06-21 2024-04-03 Picosun Oy Substrate processing apparatus and method
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
WO2019180846A1 (ja) * 2018-03-20 2019-09-26 シャープ株式会社 成膜用マスクおよびそれを用いた表示装置の製造方法
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10697062B2 (en) 2018-07-11 2020-06-30 Applied Materials, Inc. Gas flow guide design for uniform flow distribution and efficient purge
KR20210035217A (ko) * 2018-08-02 2021-03-31 도쿄엘렉트론가부시키가이샤 성막 장치
FI130051B (en) * 2019-04-25 2023-01-13 Beneq Oy DEVICE AND METHOD
JP7300527B2 (ja) * 2019-06-25 2023-06-29 ピコサン オーワイ 基板の裏面保護
CN114258436A (zh) 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿
WO2022040075A1 (en) * 2020-08-21 2022-02-24 Applied Materials, Inc. Processing system for processing a flexible substrate and method of measuring at least one of a property of a flexible substrate and a property of one or more coatings on the flexible substrate
US11664327B2 (en) * 2020-11-17 2023-05-30 STATS ChipPAC Pte. Ltd. Selective EMI shielding using preformed mask
CN117098870A (zh) * 2021-01-27 2023-11-21 尤金纳斯股份有限公司 用于循环沉积的前体递送系统及方法
WO2023027706A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Process gas containment using elastic objects mated with reactor frames
WO2023074260A1 (ja) * 2021-10-29 2023-05-04 東京エレクトロン株式会社 プラズマ処理システム及びプラズマ処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1342213A (zh) * 1999-01-04 2002-03-27 集勒思公司 原子层沉积工艺的处理室
CN1900359A (zh) * 2005-07-19 2007-01-24 应用材料股份有限公司 Pvd-cvd混合系统
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
CN101809190A (zh) * 2007-09-26 2010-08-18 伊斯曼柯达公司 由原子层沉积制造薄膜晶体管的方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4648106A (en) * 1984-11-21 1987-03-03 Micronix Corporation Gas control for X-ray lithographic system
JPS63119233A (ja) * 1986-11-07 1988-05-23 Hitachi Ltd X線転写装置
JP3140111B2 (ja) 1991-11-19 2001-03-05 オリンパス光学工業株式会社 高倍率顕微鏡対物レンズ
JP2778574B2 (ja) * 1995-03-30 1998-07-23 日本電気株式会社 半導体用製造装置
JP4776054B2 (ja) * 2000-02-04 2011-09-21 株式会社デンソー 原子層成長による薄膜形成方法
KR100494970B1 (ko) 2002-12-03 2005-06-13 병호 최 광원자층 선택증착장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP3140111U (ja) * 2007-12-21 2008-03-13 日本エー・エス・エム株式会社 半導体製造装置用ガス供給装置
US20100112212A1 (en) 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
US20100186671A1 (en) * 2009-01-23 2010-07-29 Applied Materials, Inc. Arrangement for working substrates by means of plasma
KR101569796B1 (ko) * 2009-06-23 2015-11-20 주성엔지니어링(주) 기판 정렬 장치, 이를 포함하는 기판 처리 장치 및 기판 정렬 방법
WO2011112587A1 (en) 2010-03-09 2011-09-15 First Solar, Inc. Deposition chamber cleaning system and method
WO2012039310A1 (ja) 2010-09-22 2012-03-29 株式会社アルバック 有機el素子の製造方法、成膜装置、有機el素子
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR101853265B1 (ko) * 2011-03-15 2018-05-02 삼성디스플레이 주식회사 증착 마스크
JP3195992U (ja) 2011-06-17 2015-02-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Oled処理のためのcvdマスクアライメント
US20130092085A1 (en) * 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
KR20140129231A (ko) * 2012-02-21 2014-11-06 어플라이드 머티어리얼스, 인코포레이티드 원자 층 증착 리소그래피
US20130239889A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Valve purge assembly for semiconductor manufacturing tools
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1342213A (zh) * 1999-01-04 2002-03-27 集勒思公司 原子层沉积工艺的处理室
CN1900359A (zh) * 2005-07-19 2007-01-24 应用材料股份有限公司 Pvd-cvd混合系统
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
CN101809190A (zh) * 2007-09-26 2010-08-18 伊斯曼柯达公司 由原子层沉积制造薄膜晶体管的方法

Also Published As

Publication number Publication date
WO2015112467A1 (en) 2015-07-30
KR102330725B1 (ko) 2021-11-23
TWI641066B (zh) 2018-11-11
KR102458230B1 (ko) 2022-10-21
KR20160111963A (ko) 2016-09-27
CN105934837A (zh) 2016-09-07
US10184179B2 (en) 2019-01-22
TWI670389B (zh) 2019-09-01
KR20210144933A (ko) 2021-11-30
JP6713413B2 (ja) 2020-06-24
TW201539617A (zh) 2015-10-16
KR102302720B1 (ko) 2021-09-14
US20160319422A1 (en) 2016-11-03
JP2017504725A (ja) 2017-02-09
US20160362788A1 (en) 2016-12-15
WO2015112470A1 (en) 2015-07-30
TW201542861A (zh) 2015-11-16
CN105934837B (zh) 2018-12-28
JP2017505987A (ja) 2017-02-23
CN106415876A (zh) 2017-02-15
JP6495301B2 (ja) 2019-04-03
KR20160111962A (ko) 2016-09-27

Similar Documents

Publication Publication Date Title
CN106415876B (zh) 允许低压力工具替换的薄膜封装处理系统和工艺配件
KR101764048B1 (ko) 성막 장치
TWI737868B (zh) 成膜裝置及成膜方法
TWI407494B (zh) 半導體處理裝置
US11306393B2 (en) Methods and apparatus for ALD processes
JP7369166B2 (ja) 薄膜封止処理システムおよびプロセスキット
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
CN113793911B (zh) 薄膜封装处理系统和工艺配件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant