CN101578391A - 沉积系统及方法 - Google Patents

沉积系统及方法 Download PDF

Info

Publication number
CN101578391A
CN101578391A CNA2007800495356A CN200780049535A CN101578391A CN 101578391 A CN101578391 A CN 101578391A CN A2007800495356 A CNA2007800495356 A CN A2007800495356A CN 200780049535 A CN200780049535 A CN 200780049535A CN 101578391 A CN101578391 A CN 101578391A
Authority
CN
China
Prior art keywords
substrate
delivery head
depositing system
output face
gaseous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800495356A
Other languages
English (en)
Other versions
CN101578391B (zh
Inventor
D·H·莱维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eastman Kodak Co
Original Assignee
Eastman Kodak Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=39272914&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN101578391(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Eastman Kodak Co filed Critical Eastman Kodak Co
Publication of CN101578391A publication Critical patent/CN101578391A/zh
Application granted granted Critical
Publication of CN101578391B publication Critical patent/CN101578391B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02557Sulfides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明公开一种在基片上沉积薄膜物质的方法,所述方法包括从薄膜沉积系统的输送头的输出面朝向基片的表面同时引导一系列气流,其中所述系列的气流包括至少第一气态反应物质、惰性吹扫气体和第二气态反应物质,其中第一气态反应物质能够与用第二气态反应物质处理的基片表面反应,其中一个或多个气流提供至少有助于使基片表面从输送头的面分离的压力。本发明还公开一种能够实施此方法的系统。

Description

沉积系统及方法
发明领域
本发明整体涉及薄膜物质的沉积,更具体地讲,涉及利用在基片上分配头引导同时气流在基片上沉积原子层的装置。
发明背景
在薄膜沉积广泛使用的技术中,化学气相沉积(CVD)用化学反应性分子在反应室中反应,以在基片上沉积所需薄膜。用于CVD应用的分子前体包括待沉积薄膜的单质(原子)成分,一般也包括其他元素。为了在基片上反应形成薄膜,CVD前体为在气相输送到室的挥发性分子。化学反应沉积所需薄膜厚度的薄膜。
大多数CVD技术一般需要将精确控制的一种或多种分子前体流加入CVD反应器。基片在控制压力条件下保持在精确控制温度,以促进这些分子前体之间的化学反应,同时有效除去副产物。获得最佳CVD性能需要能在整个过程中达到并维持气流、温度和压力的稳态条件,并使瞬变过程达到最小或消除。
尤其在半导体、集成电路和其他电子器件领域,需要超过常规CVD技术可取得限度的具有优良保形涂覆性质的薄膜,尤其是较高品质的较致密薄膜,尤其是能够在较低温度制成的薄膜。
原子层沉积(“ALD”)是一种供选的薄膜沉积技术,与前面CVD技术相比,这种技术可提供改善的厚度分辨率和保形能力。ALD过程将常规CVD的常规薄膜沉积过程分成单原子层沉积步骤。最好ALD步骤自己终止,并且在进行到或超过自身终止暴露时间时能够沉积一个原子层。原子层一般为0.1至0.5个分子单层,并且一般尺寸不大于大约数个埃。在ALD中,沉积原子层是反应性分子前体和基片化学反应的结果。在各个单独的ALD反应沉积步骤中,纯反应沉积所需原子层,并且实质消除最初在分子前体中包含的“额外”原子。在其最纯态,ALD在其他反应前体不存在下包括各前体的吸附和反应。实际上,在任何系统中都难以避免不同前体的一些直接反应,导致少量化学气相沉积反应。为进行ALD提出的系统的目的是获得装置性能,并归结为与ALD系统相称,同时认识到能够容许少量CVD反应。
在ALD应用中,一般在单独阶段将两种分子前体引入ALD反应器。例如,金属前体分子MLx包括结合到原子或分子配位体L的金属元素M。例如,M可以为但不限于Al、W、Ta、Si、Zn等。在基片表面经制备能与分子前体直接反应时,金属前体与基片反应。例如,基片表面一般经制备,以包含能与金属前体反应的含氢配位体AH等。硫(S)、氧(O)和氮(N)为一些一般A物质。气态金属前体分子与基片表面上的所有配位体有效反应,致使沉积单一金属原子层:
基片-AH+MLx→基片-AMLx-1+HL
(1)
其中HL为反应副产物。反应期间,初始表面配位体AH消耗,表面变得以L配位体覆盖,L配位体可不进一步与金属前体MLx反应。因此,在表面上所有的初始AH配位体以AMLx-1物质代替时,反应自己终止。在反应阶段后一般为惰性气体吹扫阶段,在单独引入第二气态反应前体物质前,惰性气体吹扫阶段从室中排除过量的金属前体。
然后用第二分子前体恢复基片对金属前体的表面反应性。这例如通过除去L配位体和重新沉积AH配位体来进行。在此情况下,第二前体一般包含所需(通常为非金属)元素A(即,O、N、S)和氢(即H2O、NH3、H2S)。下一步反应如下:
基片-A-ML+AHY→基片-A-M-AH+HL
(2)
这使表面回转到其AH覆盖态。(在此,为了简单起见,化学反应未配平)。所需的其他元素A结合到薄膜,不需要的配位体L作为挥发性副产物排除。反应再一次消耗反应部位(这一次为L终止的部位),并且在基片上的反应部位完全耗尽时自身终止。然后,第二分子前体通过在第二吹扫阶段使惰性吹扫气体流动从沉积室移除。
这样,概括地讲,基本ALD方法需要按次序改变化学物质到基片的流量。以上讨论的代表性ALD方法为具有四个不同操作阶段的周期:
1.MLx反应;
2.清除MLx
3.AHy反应;和
4.清除AHy,然后回到阶段1。
表面反应和前体移除交替的这一重复序列以居间吹扫操作使基片表面恢复到其初始反应状态是一种典型的ALD沉积周期。ALD操作的关键特点是基片恢复到其初始表面化学条件。利用这一组重复步骤,可使薄膜以相等计量层成层到基片,这些层在化学动力学、每周期的沉积、组成和厚度方面完全相同。
可用ALD作为制造步骤形成多种薄膜电子器件,包括半导体器件和支持电子元件,如电阻器和电容器、绝缘体、总线线路及其他导电结构。ALD特别适用于形成电子器件的元件中的金属氧化物薄层。可用ALD沉积的功能物质的一般种类包括导体、电介质或绝缘体及半导体。
导体可以为任何可用的导电物质。例如,导体可包括透明物质,如氧化锡铟(ITO)、掺杂的氧化锌、ZnO、SnO2或In2O3。导体的厚度可以变化,根据具体实例,可以为50至1000nm。
所用半导体物质的实例为化合物半导体,如砷化镓、氮化镓、硫化镉、本征氧化锌和硫化锌。
电介质使图形电路的不同部分电绝缘。电介质层也可被称为绝缘体或绝缘层。用作电介质的物质的具体实例包括strontiates、钽酸盐、钛酸盐、锆酸盐、铝氧化物、硅氧化物、钽氧化物、铪氧化物、钛氧化物、硒化锌和硫化锌。此外,可用这些实例的合金、组合和多层作为电介质。在这些物质中,铝氧化物是优选的。
电介质结构层可包括两个或更多个具有不同介电常数的层。这些绝缘体讨论于美国专利5,981,970和同时待审的美国申请11/088,645。电介质材料一般显示大于5eV的带隙。所用电介质层的厚度可以变化,根据具体实例,可以为10至300nm。
可用上述功能层制造多种器件结构。可通过选择具有适度到不良导电性的导电物质制造电阻器。可通过在两个导体之间放置电介质制造电容器。可通过在两个导电电极之间放置两个互补载流子类型的半导体制造二极管。也可在互补载流子类型的半导体之间布置半导体区域,该半导体区域是固有的,表明此区域具有少量自由电荷载流子。也可通过在两个导体之间放置单一半导体构成二极管,其中导体/半导体的一个界面产生以一个方向强力阻碍电流的肖特基势垒。晶体管可通过在导体(栅)上放置绝缘层,随后放置半导体层制造。如果两个或更多个其他导体电极(源和漏)分开与顶部半导体层接触布置,也可形成晶体管。可以不同结构产生任何以上器件,只要产生必需的界面。
在薄膜晶体管的一般应用中,需要能够控制电流通过器件的开关。因此,需要在开关接通时,高电流能够流动通过器件。电流的范围与半导体电荷载流子迁移率相关。当器件断开时,电流很小合乎需要。这与电荷载流子浓度相关。此外,可见光很小或不影响薄膜晶体管响应一般优选。为了使这成为实际,半导体带隙应足够大(>3eV),以使暴露于可见光不产生带间跃迁。能够产生高迁移率、低载流子浓度和高带隙的物质是ZnO。此外,对于在移动网上高体积制造,在方法中使用的化学物质廉价且低毒非常合乎需要,这可用ZnO及其大多数前体满足。
自饱和表面反应使ALD对传送不均匀性相对不敏感,由于工程容差和流系统限制或者与表面形貌有关,这可能另外削弱表面均匀性(即,沉积成三维高长宽比结构)。通常,在反应过程中化学物质的不均匀流量一般导致在表面区域的不同部分不同的完成次数。然而,利用ALD允许各反应在整个基片表面上完成。因此,完成动力学的差异没有对均匀性产生不利。这是因为首先完成反应的区域自身终止反应;其他区域能够继续,直到完全处理的表面经历预期反应。
一般ALD方法在单一ALD周期中沉积0.1-0.2nm薄膜(利用具有以前所列编号步骤1至4的一个周期)。为了对很多或大多数半导体应用提供3nm至30nm范围均匀薄膜厚度,甚至是其他应用的较厚薄膜,应达到有用且经济可行的周期时间。根据工业生产能力标准,基片优选在2分钟至3分钟内处理,这意味ALD周期时间应为0.6秒至6秒。
ALD为提供控制水平的高度均匀薄膜沉积给予相当大希望。然而,尽管有其内在技术能力和优点,但一些技术限制仍然保留。一个重要考虑涉及所需的周期数。由于其重复反应物和吹扫周期,有效使用ALD需要能够与快速进行吹扫周期一起突然使化学物质流从MLx改变到AHy的装置。常规的ALD系统设计是以所需次序使不同气态物质快速循环到基片上。然而获得以所需速度且在没有一些不需要混合下将所需系列气态配制物引入室中的可行方案很难。另外,为了允许成本有效涂覆很多基片,ALD装置应能够对于很多周期有效和可靠执行这一快速定序。
为了在任何给定反应温度使ALD反应需要达到自身终止的时间达到最少限度,一种方法用所谓的“脉冲”系统使化学物质流入ALD反应器的流量达到最大程度。为了使化学物质进入ALD反应器的流量最大化,有利以最小惰性气体稀释并在高压将分子前体引入ALD反应器。然而,这些措施对需要达到短周期时间和从ALD反应器快速移除这些分子前体产生消极影响。快速移除又会要求在ALD反应器中的气体停留时间减少到最低限度。气体停留时间τ与反应器的体积V、在ALD反应器中的压力P和流量Q的倒数成比例,即:
τ=VP/Q
(3)
在一般ALD室中,体积(V)和压力(P)独立受机械和泵送限制因素支配,导致难以将停留时间精确控制到低值。因此,降低在ALD反应器中的压力(P)有利于低气体停留时间,并增加从ALD反应器移除(清除)化学前体的速度。相比之下,使ALD反应时间最少化需要在ALD反应器内利用高压使化学前体进入ALD反应器的流量最大化。此外,气体停留时间和化学使用效率与流量成反比。因此,尽管降低流量能够提高效率,但也增加了气体停留时间。
现有的ALD方法在需要缩短反应时间与改善化学利用效率之间折衷,另一方面,需要使吹扫气体停留时间和化学移除时间最少化。克服“脉冲”输送气态物质固有限制的一种方法是连续提供各反应气体,并使基片连续通过各气体移动。例如,授予Yudovsky的美国专利6,821,563(标题为“GAS DISTRIBUTION SYSTEM FOR CYCLICALLAYER DEPOSITION”(循环层沉积使用的气体分配系统))描述在真空下具有用于前体和吹扫气体的单独气体口(与各气体口之间的真空泵口交替)的处理室。各气体口将其气流向下垂直引向基片。单独气流由壁或间壁分隔,并且具有用于在各气流的两侧抽空气体的真空泵。各间壁的下部延伸接近基片,例如距基片表面0.5mm或更大。以此方式,间壁的下部从基片表面离开足够距离,此距离足以允许气流在与基片表面反应后,围绕下部向真空口流动。
提供旋转式可旋转或其他传输装置保持一个或多个基片晶片。以此布置,使基片在不同气流下往返移动,从而实现ALD沉积。在一个实施方案中,基片以线形路径通过室移动,其中基片前后通过多次。
利用连续气流的另一种方法说明于授予Suntola等人的标题为“METHOD FOR PERFORMING GROWTH OF COMPOUND THINFILMS”(完成复合薄膜生长的方法)的美国专利4,413,022中。气流列具有交替的源气体开口、载气开口和真空排气开口。在所述列上往复移动基片同样实现ALD沉积而不需要脉冲操作。在图13和14的实施方案中,尤其通过在源开口固定列上往复移动基片产生基片表面和反应蒸气的依序相互作用。扩散壁垒由排气开口之间的载气开口形成。Suntola等人声称,此实施方案甚至可在大气压操作,尽管提供很少或没有提供此方法或实例的细节。
虽然例如在′563 Yudovsky和′022 Suntola等公开中所述的系统可避免脉冲气体方法固有的一些难题,但这些系统也有其他缺陷。′563Yudovsky公开的气流输送装置和′022 Suntola等公开的气流列均不能比0.5mm更接近基片使用。′563 Yudovsky和′022 Suntola等专利中公开的气流输送装置都没有为可能与移动网表面使用作出布置,例如可作为柔韧性基片用于形成电子电路、光传感器或显示器。′563Yudovsky公开的气流输送装置和′022 Suntola等公开的气流列分别都提供气流和真空,两者的复杂布置使这些解决方法难以实行,缩放比例费用大,并限制了在有限尺寸移动基片上沉积应用的潜在适用性。另外,在列中不同点保持均匀真空和在补充压力保持同步气流和真空会很难,因此,危害提供到基片表面的气流的均匀性。
授予Selitser的美国专利公布2005/0084610公开一种大气压原子层化学气相沉积方法。Selitser等人阐述通过使操作压力改变到大气压额外增加反应速率,这包括反应物浓度的数量级增加,随之提高表面反应物速率。Selitser等人的实施方案包括用于方法各阶段的单独室,尽管Selitser′4610中的图10显示其中室壁移除的一个实施方案。一系列分离的注射器围绕旋转的圆形基片支架轨道隔开。各注射器独立结合被操作的反应物、吹扫和排气歧管,控制并作为一个完全单层沉积和反应物吹扫周期用于在过程下通过的各基片。Selitser等人未描述或描述很少气体注射器或歧管的具体细节,尽管它们声称选择注射器间距,以便通过吹扫气流防止交叉污染相邻的注射器,并且排气歧管结合在各注射器中。
已受特别关注的ALD处理的一个方面涉及硅晶片基片的温度控制。为材料沉积期间精确控制温度提出的解决方法有一些由Granneman描述于美国专利申请公布2004/0142558。在Granneman的′2558公开中,位于晶片之上和之下的台板既作为气源,也作为加热元件。在授予Granneman等人的标题为“METHOD AND APPARATUSFOR SUPPORTING A SEMICONDUCTOR WAFER DURINGPROCESSING”(在处理期间支持半导体晶片的方法和装置)的美国专利6,183,565中描述的脉冲沉积实施方案中,半导体晶片基片在沉积期间由加热的气流支持,因此在此过程中用传导加热提供温度控制,而不是幅射传热。类似对于CVD应用,授予Sugimoto的标题为“TABLE FOR WAFER POSITIONING”(晶片定位台)的日本公布62-021237、授予Hashimoto等人的标题为“SEMICONDUCTORVAPOR GROWTH EQUIPMENT”(半导体气相生长设备)的04-078130和授予Tokisue等人的标题为“GAS PHASE FLOATING EPITAXIALGROWTH”(气相悬浮外延生长)的61-294812描述在沉积过程通过气体射流“悬浮”半导体晶片。现已认识到,在化学沉积期间可用气体射流加热和传输半导体晶片。在半导体制造中使用的至少一种商品LEVITOR RTP(快热处理)反应器(由ASM International N.V.,Bilthoven,Netherlands制造)由于传热和晶片处理优点利用此“气体流体承载”方法。然而,此装置和类似装置未在沉积期间提供气体的相互空间分离,而是基于本背景资料中使用的脉冲输送模型。
可以理解,利用空气承载原理或更一般气体流体承载原理可得到适于改善气相沉积和ALD过程中晶片传输的一些优点。然而,现有的解决方法指向脉冲沉积系统,这必然需要设计相当复杂的机械和气体发送系统和部件。此类系统中晶片的空气承载悬浮需要提供一种室,所述室在晶片的一侧具有连续提供悬浮晶片所用惰性气体的基础模块,和在晶片的另一侧具有用于提供反应物重复、快速定序和用于有效物质沉积所需吹扫气体循环的沉积模块。因此可以看到,需要一种能够利用连续方法并且能够提供超过以前解决方法的改善气体迁移率和气流分离的ALD沉积方法和装置。
发明概述
本发明提供一种在基片上沉积薄膜物质的方法,所述方法包括从薄膜沉积系统的输送头的输出面朝向基片的表面同时引导一系列气流,其中所述系列的气流包括至少第一气态反应物质、惰性吹扫气体和第二气态反应物质。第一气态反应物质能够与用第二气态反应物质处理的基片表面反应。一个或多个气流提供至少有助于使基片表面从输送头的面分离的压力。
本发明的另一方面提供一种在基片上沉积固体物质薄膜的沉积系统,所述沉积系统包括:
a)分别用于多种气态物质的多个源,所述源包括分别用于第一气态物质、第二气态物质和第三气态物质的至少第一源、第二源和第三源;
b)输送头,所述输送头用于将气态物质输送到接收薄膜沉积的基片,并且包括:
(i)多个入口,所述入口包括分别用于接收第一气态物质、第二气态物质和第三气态物质的至少第一入口、第二入口和第三入口;和
(ii)输出面,所述输出面包括多个输出口,并且以从基片表面一定距离面向基片,其中第一气态物质、第二气态物质和第三气态物质同时从输出面中的输出口排出;
c)用于支持基片的任选基片载体;并且
d)在薄膜沉积期间保持输送头的输出面和基片表面之间的实质均匀距离,其中对于薄膜沉积由一种或多种气态物质流从输送头到基片表面产生的压力提供使输送头的输出面从基片表面分离的至少一部分力。
在一个实施方案中,所述系统在分配头和基片之间提供相对摆动移动。在一个优选的实施方案中,可用经过薄膜沉积的基片连续移动操作所述系统,其中所述系统能够将载体传送到分配头上或作为网通过分配头,优选在处于实质大气压的非密封环境。
本发明的一个优点是能够提供非常适合许多不同类型基片和沉积环境的在基片上沉积原子层的紧凑装置。
本发明的另一个优点是允许在优选的实施方案中在大气压条件下操作。
本发明的另一个优点是适合在网上或其他移动基片上沉积,包括在大面积基片上沉积。
本发明的另一个优点是可用于大气压力的低温方法,此方法可在对环境大气开放的非密封环境实施。本发明的方法允许以较早公式(3)中所示关系控制气体停留时间τ,允许减少停留时间τ,并且系统压力和体积由单一变量气流控制。
本领域技术人员通过阅读以下详细说明并结合显示和描述本发明示例实施方案的附图,本发明的这些和其他目的、特征和优点将变得显而易见。
附图简述
虽然本说明通过特别指出并清楚地要求本发明主题的权利要求作出结论,但相信通过以下详述并结合附图,可更好地理解本发明,其中:
图1为用于本发明原子层沉积的输送头的一个实施方案的横截面侧视图;
图2为输送头的一个实施方案的横截面侧视图,显示气态物质提供到经历薄膜沉积的基片的一个示例布置;
图3A和3B为示意显示伴随沉积操作的输送头的一个实施方案的横截面侧视图;
图4为根据一个实施方案的沉积系统中输送头的透视部件分解图;
图5A为用于图4输送头的连接板的透视图;
图5B为用于图4输送头的气室板的平面图;
图5C为用于图4输送头的气体引导板的平面图;
图5D为用于图4输送头的底板的平面图;
图6为显示一个实施方案中输送头上的底板的透视图;
图7为根据一个实施方案的气体扩散器装置的部件分解图;
图8A为图7的气体扩散器装置的喷嘴板的平面图;
图8B为图7的气体扩散器装置的气体扩散器板的平面图;
图8C为图7的气体扩散器装置的面板的平面图;
图8D为在图7的气体扩散器装置内气体混合的透视图;
图8E为使用图7气体扩散器装置的通气路径的透视图;
图9A为在一个实施方案中使用垂直堆叠板的输送头的一部分的透视图;
图9B为图9A中所示输送头的部件的部件分解图;
图9C为显示用堆叠板形成的输送组合件的平面图;
图10A和10B分别为在图9A的垂直板实施方案中使用的隔板的平面图和透视图;
图11A和11B分别为在图9A的垂直板实施方案中使用的吹扫板的平面图和透视图;
图12A和12B分别为在图9A的垂直板实施方案中使用的排气板的平面图和透视图;
图13A和13B分别为在图9A的垂直板实施方案中使用的反应物板的平面图和透视图;
图13C为交替取向的反应物板的平面图;
图14为显示相关距离尺寸和力方向的输送头的侧透视;
图15为显示基片传输系统所用分配头的透视图;
图16为显示使用本发明的输送头的沉积系统的透视图;
图17为显示施加到移动网的沉积系统的一个实施方案的透视图;
图18为显示施加到移动网的沉积系统的另一个实施方案的透视图;
图19为具有曲率输出面的输送头的一个实施方案的横截面侧视图;
图20为用气垫使输送头从基片分离的一个实施方案的透视图;
图21为显示包含用于移动基片的气体流体承载的沉积系统的一个实施方案的侧视图。
发明详述
发明详述尤其涉及形成本发明的装置的部分或更直接与本发明的装置配合的元件。应了解,未明确显示或描述的元件可采取本领域技术人员熟悉的各种形式。
对于以下说明,所用术语“气体”或“气态物质”在广义上包括任何范围的蒸发或气态单质、化合物或物质。本文所用其他术语,如反应物、前体、真空和惰性气体,均具有物质沉积领域技术人员了解的普通含义。提供的附图未按比例绘制,而旨在显示本发明一些实施方案的整体功能和结构布置。
对于以下说明,叠加具有其最常规意义,其中元件以使一个元件的部分与另一个元件的相应部分对准并且其周边大致符合的方式相互正放或对放。
术语“上游”和“下游”具有与气流方向相关的常规意义。
本发明的装置从常规方法有意义偏离到ALD,利用将气态物质输送到基片表面的改善分配装置,适应在较大和基于网的或网支持的基片上沉积,并且能够以提高的通过速度得到高度均匀的薄膜沉积。本发明的装置和方法利用连续(相对于脉冲)气态物质分配。本发明的装置允许在大气压或接近大气压和真空下操作,并且能够在非密封或开放空气环境操作。
参考图1,图1显示本发明用于在基片20上原子层沉积的输送头10的一个实施方案的横截面侧视图。输送头10具有作为接受第一气态物质的入口的气体入管14、用于接受第二气态物质的入口的气体入管16和用于接受第三气态物质的入口的气体入管18。这些气体通过具有随后所述结构布置(可包括扩散器)的输出通道12在输出面36喷出。图1和随后图2-3B中的虚线箭头是指气体从输送头10到基片20的输送。在图1中,虚线箭头X也指示排气(在此图中显示向上指向)和与提供排气口的排气管24连通的排气通道22的路径。为了简单说明,在图2-3B中未指示排气。由于排气仍可能包含大量未反应前体,因此,使主要包含一种反应物质的排出流与主要包含另一种物质的排出流混合可能不合乎需要。因此,应认识到,输送头10可包含多个独立的排气口。
在一个实施方案中,气体入管14和16适应接收随后在基片表面上反应以实现ALD沉积的第一气体和第二气体,气体入管18接收对第一气体和第二气体为惰性的吹扫气体。输送头10与可在基片载体上提供的基片20间隔距离D,如随后更详细描述。通过移动基片20,移动输送头10或移动基片20和输送头10两者,可在基片20和输送头10之间提供往复移动。在图1所示的具体实施方案中,基片20由基片载体96以往复方式跨输出面36移动,如图1中箭头A和基片20左右的虚轮廓线所示。应注意到,用输送头10沉积薄膜并不总是需要往复移动。也可在基片20和输送头10之间提供其他类型相对移动,例如以一个或多个方向移动基片20或输送头10,如随后更详细描述。
图2的横截面图显示在输送头10的输出面36的一部分喷出的气流(排气路径省略,如前提到)。在此具体布置中,各输出通道12与图1中看到的气体入管14、16或18之一气体流通。各输出通道12一般输送第一气态反应物质O或第二气态反应物质M或第三气态惰性物质I。
图2显示气体的相对基本或简单布置。可预想在薄膜单一沉积中在不同口序列输送多种非金属沉积前体(如物质O)或多种含金属前体物质(如物质M)。或者,在制造例如具有交替金属层或在金属氧化物物质中混有较少量掺杂剂的复杂薄膜物质时,可在单一输出通道施加气体反应物的混合物,例如金属前体物质的混合物或金属和非金属前体的混合物。值得注意的是对惰性气体标记为I的间流(也称为吹扫气体)应将其中气体可能相互反应的任何反应物通道隔离。第一气态反应物质O和第二气态反应物质M相互反应实现ALD沉积,但气态反应物质O和M均不与气态惰性物质I反应。图2和以下使用的命名表明气体反应物的一些一般类型。例如,第一气态反应物质O可以为氧化气态物质,第二气态反应物质M为含金属化合物,如含锌物质。惰性气态物质I可以为氮、氩、氦或在ALD系统中通常用作吹扫气体的其他气体。惰性气态物质I对第一气态反应物质O和第二气态反应物质M为惰性。在一个实施方案中,第一气态反应物质和第二气态反应物质之间的反应生成金属氧化物或其他二元化合物,如氧化锌ZnO或ZnS,用于半导体。多于两种气态反应物质之间的反应可形成三元化合物,例如ZnAlO。
图3A和3B的横截面图以简单示意形式显示在输送气态反应物质O和M时,在基片20沿着输送头10的输出面36通过时进行的ALD涂覆操作。在图3A中,基片20的表面首先接收从输出通道12连续喷出的氧化物质,称为输送第一气态反应物质O。基片的表面现在包含易于与物质M反应的物质O的部分反应形式。然后,在基片20进入第二气态反应物质M的金属化合物的路径时,发生与M的反应,生成金属氧化物或可由两种气态反应物质生成的一些其他薄膜物质。与常规解决方法不同,图3A和3B中所示的沉积序列在对给定基片或其规定区域沉积期间连续,而不是脉冲。即,在基片20跨输送头10的表面通过时,或者相反,在输送头10沿着基片20的表面通过时,物质O和M连续喷出。
如图3A和3B显示,在第一气态反应物质O和第二气态反应物质M的流之间的交替输出通道12提供惰性气态物质I。值得注意的是,如图1所示,在输出通道12之间散置排气通道22,但优选没有真空通道。只需要提供少量抽吸的排气通道22排出从输送头10喷出并在处理中使用的废气。
对输送头10操作的一个方面涉及对基片20提供气压,以便通过施加的压力至少部分保持分离距离D。通过在输出面36和基片20的表面之间保持一定量气压,本发明的装置对输送头10自身或者对基片20提供至少一些部分空气承载,或更适当气体流体承载。此布置有助于简化对输送头10的传输需求,如后所述。重要的是,使输送头接近基片以便由气压支持基片的作用有助于在气流之间提供隔离。通过使输送头在这些流上悬浮,在反应流区域和吹扫流区域建立压力场,致使在没有或很少其他气流互混下,将气体从入口引向排气口。
在一个实施方案中,由于分离距离D相对较小,即使距离D的很小变化(如甚至100微米)也需要流速的显著变化,因此气压提供分离距离D。例如,在一个实施方案中,使分离距离D加倍(包括小于1mm变化)需要提供分离距离D的气体的流速大于两倍,优选大于四倍。作为一般原则可以认为,在实际中使分离距离D减到最少并因此以减小的流速操作更为有利。
图4的部件分解图显示一个实施方案中对于总体组合件的一小部分如何能够从一组有孔板构成输送头10,还显示仅气体之一的一部分的示例性气流路径。输送头10的连接板100有一系列输入口104连接到气源,气源在输送头10的上游,未在图4中显示。各输入口104与引导室102连通,引导室102将接收的气体向下游引导到气室板110。气室板110具有供气室112,供气室112与气体引导板120上单独的引导通道122气体流通。气流从引导通道122进入底板130上的具体伸长的排气通道134。气体扩散器装置140提供扩散,并在其输出面36最终输送输入气体。示例气流F1沿路线通过输送头10组合件的各个部件。在本申请中,图4中所示的x-y-z轴方向也适用于图5A和7。
如图4实例中所示,输送头10的输送组合件150成为叠加的有孔板布置:连接板100、气室板110、气体引导板120和底板130。在此“水平”实施方案中,这些板实质平行于输出面36布置。气体扩散器装置140也可用叠加的有孔板形成,如后所述。可以理解,图4中所示的任何板可自身由一组叠加板制成。例如,可有利地由四或五块适当配合在一起的堆叠有孔板形成连接板100。这种类型的布置与形成引导室102和输入口104的机械加工或模制方法相比不太复杂。
可用气体扩散器装置140使通过输出通道的流相等,将气态物质提供到基片。标题为“DELIVERY HEAD COMPRISING GASDIFFUSER DEVICE FOR THIN FILM DEPOSITION”(用于薄膜沉积的包含气体扩散器装置的输送头)的同时待审共同转让USSN11/620,740公开可任选使用的不同扩散器系统。或者,可用输出通道提供气态物质而不使用扩散器,如Suntola等人的US 4,413,022中所用。可通过提供非扩散流得到较高通过量,但可能以较小均匀沉积为代价。另一方面,扩散器系统对上述悬浮头系统尤其有利,因为能够在输送装置内提供有利于头悬浮的反压力。
图5A至5D显示一起组合成图4实施方案中的输送头10的每个主要部件。图5A为显示多个引导室102的连接板100的透视图。图5B为气室板110的平面图。在一个实施方案中,供气室113用于输送头10的吹扫或惰性气体。在一个实施方案中,供气室115为前体气体(O)提供混合,排气室116为此反应气体提供排出路径。与此类似,供气室112提供其他所需反应气体-金属前体气体(M),排气室114为此气体提供排出路径。
图5C为用于此实施方案中输送头10的气体引导板120的平面图。提供金属前体物质(M)的多个引导通道122以使适合供气室112(在此视图中未示出)与底板130连接的方式布置。相应的排气引导通道123位于引导通道122附近。引导通道90提供其他前体物质(O),并具有相应的排气引导通道91。引导通道92提供吹扫气体(I)。应再次强调,图4和5A-5D显示一个示例性实施方案,许多其他实施方案也是可能的。
图5D为用于输送头10的底板130的平面图。底板130具有与排气通道134交替的多个伸长的喷出通道132。
图6为显示由水平板形成的底板130并显示输入口104的透视图。图6的透视图显示从输出侧观察并且具有伸长的喷出通道132和伸长的排气通道134的底板130的外表面。与图4相关,图6的视图从面对气体扩散器装置140的一侧取得。
图7的部件分解图显示形成如图4实施方案和随后所述其他实施方案中使用的任选气体扩散器装置140的一个实施方案所用部件的基本布置。这些包括图8A平面图中所示的喷嘴板142。如图6、7和8A视图中所示,喷嘴板142靠底板130安装,并从伸长的喷出通道132得到气流。在所示的实施方案中,输出通路143提供所需的气态物质。序列第一排气槽180在排气路径中提供,如后所述。
与板142和148配合扩散的图8B所示的气体扩散器板146靠喷嘴板142安装。使喷嘴板142、气体扩散器板146和面板148上不同通路的布置优化为气流提供所需量的扩散,同时有效引导排气离开基片20的表面区域。槽182提供排气口。在所示的实施方案中,形成第二扩散器输出通路147的供气槽和排气槽182在气体扩散器板146中交替。
然后,如图8C所示的面板148面对基片20。利用此实施方案,提供气体的第三扩散器输出通路149和排气槽184再次交替。
图8D聚焦于通过气体扩散器装置140的输气路径,之后图8E以相应方式显示排气路径。参考图8D,对于一组代表性气口,图8D显示一个实施方案中输出流F2的反应气体完全扩散使用的总体布置。自底板130的气体(图4)通过喷嘴板142上的第一输出通路143提供。气体向下游进到气体扩散器板146上的第二扩散器输出通路147。如图8D所示,在一个实施方案中,在通路143和147之间可有垂直偏移(即,使用图7所示的水平板布置,与水平板的平面正交垂直),有助于形成反压力,并因此促进更均匀的流动。然后,气体进一步向下游进到面板148上的第三扩散器输出通路149,以提供输出通道12。为了使混合优化,不同的输出通路143、147和149不仅可在空间上偏移,而且可具有不同的几何形状。
在没有任选的扩散器装置存在下,底板中的伸长的喷出通道132可作为输出通道12代替第三扩散器输出通路149用于输送头10。
图8E象征性描绘一个类似实施方案中为排出气体提供的排气路径,在此,下游方向与供应气体方向相反。流F3指示分别通过序列第三排气槽184、第二排气槽182和第一排气槽180的排放气体的路径。与用于供气的流F2的较迂回混合路径不同,图8E中所示的排放布置旨在从表面快速移动废气。因此,流F3为离开基片表面的相对直接排放气体。
向回参照图4,可将显示为连接板100、气室板110、气体引导板120和底板130的部件的组合集合,以提供输送组合件150。对于输送组合件150可能有供选的实施方案,包括用图4的配合布置和视图由垂直而不是水平的有孔板形成的组合件。
参见图9A,图9A底视(即,从气体喷出侧观察)显示一种供选布置,此布置用于输送组合件150可利用与输出面36垂直安排的一组叠加有孔板。为了说明简单起见,在图9A的“垂直实施方案”中所示的输送组合件150的部分有两个伸长的喷出通道152和两个伸长的排气通道154。图9A至13C的垂直板布置可很容易扩展,以提供多个喷出和排气通道。利用与输出面36的平面垂直布置的有孔板,如图9A和9B,可通过具有侧壁形成各伸长的喷出通道152,侧壁由隔板限定,随后更详细显示,反应物板居于它们中间。这样,孔的合适对准提供与气态物质源流体连通。
图9B的部件分解图显示图9A所示输送组合件150的小部分形成所用的有孔板布置。图9C为显示有五个用于喷出气体的伸长通道并且用堆叠有孔板形成的输送组合件150的平面图。接下来图10A至13B显示平面图和透视图两者中的不同有孔板。为简单起见,为各类型的有孔板给出了字母名称:隔板S、吹扫P、反应物R和排气E。
在图9B中从左到右为在引导气体朝向或离开基片所用的板之间交替的隔板160(S),也在图10A和10B中显示。吹扫板162(P)显示于图11A和11B中。排气板164(E)显示于图12A和12B中。反应物板166(R)显示于图13A和13B中。图13C显示由图12A的反应物板166水平翻转得到的反应物板166′,根据需要,排气板164也可使用此替代取向。各有孔板中的孔168在板叠加时对准,因此形成使气体能够通过输送组合件150进入伸长的喷出输出通道152和排气通道154的管,如关于图1所述。
回到图9B,图9B只显示输送组合件150的一部分。此部分的板结构可用以前指定的字母简化表示,即:
S-P-S-E-S-R-S-E-(S)
(此序列中的最后隔板未在图9A或9B中显示。)如此序列显示,隔板160(S)通过形成侧壁限定各通道。提供两种反应气体与必需吹扫气体和一般ALD沉积所用排气通道的最小限度输送组合件150用以下完全简化序列表示:
S-P-S-E1-S-R1-S-E1-S-P-S-E2-S-R2-S-E2-S-P-S-E1-S-R1-S-E1-S-P-S-E2-S-R2-S-E2-S-P-S-E1-S-R1-S-E1-S-P-S
其中R1和R2表示使用的两种不同反应气体所用不同取向的反应物板166,E1和E2相应表示不同取向的排气板164。
排气通道154在通常意义上不必为真空口,而是可简单提供成从其相应的输出通道12抽去气流,从而有利于通道内的均匀流型。在相邻的伸长喷出通道152恰好略小于气体压力反压力的负抽可帮助促进有序流动。负抽可例如用0.2至1.0大气压的源(例如真空泵)的抽压操作,而一般真空为例如低于0.1大气压。
用输送头10提供的流型提供超过对沉积室单独脉冲气体的常规方法(如以前背景部分中提到的那些方法)的多个优点。沉积装置的灵活性改善,并且本发明的装置适用于其中基片尺寸超过沉积头大小的高体积沉积应用。流体动力学也超过以前方法得到改善。
本发明所用的流布置允许输送头10和基片20之间有很小的距离D,如图1所示,优选1mm以下。输出面36可非常接近基片表面布置,在1密耳(约0.025mm)以内。可通过反应气流产生的气压帮助接近布置。比较起来,CVD装置需要显著较大的分离距离。以前引用的前面方法,如授予Yudovsky的美国专利6,821,563所述的循环沉积,将与基片表面的距离限制到0.5mm或更大,而实行本发明的实施方案可小于0.5mm,例如小于0.450mm。实际上,在本发明中输送头10优选更接近基片表面布置。在一个特别优选的实施方案中,离开基片表面的距离D可为0.20mm或更小,优选小于100μm。
合乎需要在堆叠板实施方案装配大量板时,输送到基片的气流均匀跨过输送气流的所有通道(I、M或O通道)。这可用适当有孔板设计完成,例如在各板的流型的一些部分具有限制物,这些限制物精确加工以便为各喷出输出或排气通道提供可再现的压降。在一个实施方案中,输出通道12显示沿着开口的长度实质相等的压力,在不大于10%的偏差内。可提供甚至更高的容差,如允许不大于5%或甚至小到2%偏差。
虽然使用堆叠有孔板的方法是构造本发明制品的特别有用的方式,但有一些建立此结构的其他方法,这些方法可用于供选的实施方案。例如,所述装置可由直接加工金属块或粘在一起的数个金属块构成。此外,可利用包括内模部件的模铸技术,这为本领域的技术人员所了解。也可用一些立体平版印刷技术构造所述装置。
由本发明的输送头10提供的一个优点涉及保持其输出面36和基片20表面之间适合的分离距离D(图1)。图14显示用从输送头10喷出的气流的压力保持距离D的一些关键因素。
在图14中显示代表个数的输出通道12和排气通道22。来自一个或多个输出通道12的喷出气体的压力产生了力,如此图中向下箭头所示。为了使此力对输送头10提供有用的衬垫或“空气”承载(气体流体承载)效应,应有足够的着陆面积,即,沿着输出面36能够与基片紧密接触的固体表面积。着陆面积的百分比相当于允许在其下面积累气压的输出面36固体面积的相对量。最简单地讲,着陆面积可计算为输出面36的总面积减去输出通道12和排气通道22的总表面积。这意味着排除具有宽度w1的输出通道12的气流面积或具有宽度w2的排气通道22的气流面积的总表面积应尽可能最大。在一个实施方案中提供95%的着陆面积。其他实施方案可使用较小着陆面积值,例如85%或75%。为了改变分离或衬垫力并因此改变距离D,也可使用气体流速调节。
可以理解,提供气体流体承载,以使输送头10实质保持在基片20之上距离D是有利的。这将允许用任何适合类型的传输装置实质没有摩擦地移动输送头10。然后,可在前后引导输送头10时使输送头10在基片20的表面上“悬浮”,从而在物质沉积期间跨基片20的表面吹扫。
如图14所示,输送头10可能太重,使得向下的气力不足以维持所需的分离。在此情况下,可用辅助的提升部件补充提升力,如弹簧170、磁铁或其他装置。在其他情况下,气流可能高得足以产生相反问题,使得输送头10被迫使离开基片20的表面太大距离,除非施加另外的力。在此情况下,弹簧170可以为压缩弹簧,以提供保持距离D另外所需的力(相对于图14的布置向下)。或者,弹簧170可以为磁铁、弹性体弹簧或补充向下力的一些其他装置。
或者,输送头10可相对于基片20处于另外一些取向。例如,可通过与重力相反的空气承载效应支持基片20,以便能够在沉积期间沿着输送头10移动基片20。用空气承载效应在基片20上沉积并且在输送头10上衬托基片20的一个实施方案显示于图20中。跨输送头10的输出面36的基片20的移动处于沿着所示双箭头的方向。
图21的供选实施方案显示在基片载体74(如网载体或辊)上以方向K在输送头10和气体流体承载98之间移动的基片20。在此实施方案中,为了保持输出面36和基片20之间所需的距离D,输送头10具有空气承载效应或更适合气体流体承载效应,并与气体流体承载98配合。气体流体承载98可用惰性气体或空气或一些其他气态物质的流F4引导压力。应注意到,在本沉积系统中,基片载体或支架在沉积期间可与基片接触,基片载体可以为传送基片的装置,例如辊。因此,被处理的基片的热隔离不是本系统的要求。
特别关于图3A和图3B描述,为了完成其沉积功能,输送头10需要相对于基片20的表面移动。此相对移动可以多种方式获得,包括移动输送头10和基片20之一或两者,例如通过提供基片载体的装置的移动。根据需要多少个沉积周期,移动可以是摆动或往复移动,或者可以是连续移动。也可特别在间歇方法中使用基片旋转,虽然连续方法是优选的。可使传动装置连接到输送头体,如机械连接。或者可使用交变力,例如改变磁力场。
一般ALD需要多个沉积周期,以各周期建立控制薄膜深度。使用以前所给气态物质类型的命名法,单一周期可例如在简单设计中提供一次施加第一气态反应物质O和一次施加第二气态反应物质M。
气态反应物质O和M的输出通道之间的距离决定往复移动完成每个周期所需的距离。对于此实例,图4的输送头10可在反应气体通道出口和相邻吹扫通道出口之间具有0.1英寸(2.54mm)宽度的名义通道宽度。因此,对于往复移动(沿着本文所用y轴)以允许所有的相同表面区域见到一个完全ALD周期,需要一个冲程为至少0.4英寸(10.2mm)。对于此实例,基片20的区域利用在此距离上移动暴露于第一气态反应物质O和第二气态反应物质M两者。或者,输送头可对于其冲程移动大得多的距离,甚至从基片的一端移到另一端。在此情况下,可在生长期间使生长的薄膜暴露于在很多使用环境不产生不良作用的环境条件。在某些情况下,出于均匀性考虑可能需要在各周期随机往复移动量的措施,例如以降低边缘效应或沿着往复移动末端积累。
输送头10可只具有足够输出通道12以提供单一周期。或者,输送头10可具有多个周期布置,使其能够覆盖较大沉积区域或使其能够在一定距离往复移动,此距离允许在往复移动距离的一个横越中有两个或更多个沉积周期。
例如,在一个具体应用中,发现各O-M周期在1/4的处理表面上形成一个原子直径层。因此,在此情况下,需要4个周期在所述处理表面上形成一个原子直径均匀层。同样,在此情况下为了形成10个原子直径的均匀层,需要40个周期。
本发明输送头10所用的往复移动的一个优点是允许在面积超过输出面36面积的基片20上沉积。图15示意显示如何能够利用沿着箭头A所示的y轴往复移动和相对于x轴垂直或横向于往复移动实现此较宽区域覆盖。同样应强调,通过移动输送头10,利用提供移动的基片载体74移动基片20,或者移动输送头10和基片20两者,可如图15所示以x或y方向移动。
在图15中,输送头和基片的相对移动方向相互垂直。此相对移动平行也是可能的。在此情况下,相对移动需要具有代表摆动的非零频率分量和代表基片移位的零频率分量。此组合可通过以下方式取得:摆动与输送头在固定基片上移位组合;摆动与基片相对于固定基片输送头移位组合;或其中摆动和固定移动由输送头和基片两者移动提供的任何组合。
输送头10可有利以比很多类型沉积头可能的更小的尺寸制造。例如,在一个实施方案中,输出通道12的宽度w1为0.005英寸(0.127mm),长度延长到3英寸(75mm)。
在一个优选的实施方案中,可在或接近大气压并在宽范围环境和基片温度(优选在低于300℃的温度)进行ALD。优选需要相对洁净环境使污染的可能性最小;然而,在使用本发明装置的优选实施方案时,获得优良性能不需要完全“净室”条件或惰性气体填充的封闭体。
图16显示具有用于提供相对精确控制和无污染物环境的室50的原子层沉积(ALD)系统60。气体供应源28a、28b和28c通过供应线32将第一气态物质、第二气态物质和第三气态物质提供到输送头10。任选使用柔性供应线32帮助容易地移动输送头10。为简单起见,任选的真空蒸气回收装置和其他载体部件未在图16中显示,但也可以使用。传输子系统54提供基片载体,基片载体沿着输送头10的输出面36传送基片20,从而提供使用本公开所用坐标轴系统在x方向的移动。通过控制逻辑处理器56,例如计算机或专用微处理器组合件,可提供移动控制和阀及其他承载部件的全面控制。在图16的布置中,控制逻辑处理器56控制用于对输送头10提供往复移动的传动装置30,也控制传输子系统54的传输电动机52。传动装置30可以为任何数目的适合使输送头10沿着移动基片20(或者沿着静止基片20)前后移动的装置。
图17显示用于在网基片66上沉积薄膜的原子层沉积(ALD)系统70的一个供选实施方案,所述网基片66沿着作为基片载体的网传送装置62被传送通过输送头10。网本身可以为基片,或者可提供载体用于另外的基片。输送头传输装置64以横向于网移动方向的方向跨网基片66的表面传送输送头10。在一个实施方案中,输送头10跨网基片66的表面被前后推动,完全分离力由气压提供。在另一个实施方案中,输送头传输装置64使用穿过网基片66宽度的导螺杆或类似机械装置。在另一个实施方案中,在适合位置沿着网62使用多个输送头10。
图18显示在网布置中利用静态输送头10的另一种原子层沉积(ALD)系统70,其中流型垂直于图17的结构定向。在此布置中,网传送装置62的移动自身提供ALD沉积所需的移动。在此环境中也可使用往复移动。参考图19,图19显示输送头10的一部分的实施方案,其中输出面36具有一定量曲率,这一曲率对一些网涂覆应用可能有利。可提供凸曲率或凹曲率。
在可特别用于网制造的另一个实施方案中,ALD系统70可具有多个输送头10,或双输送头10,在基片的各侧布置一个。或者可提供柔韧性输送头10。这将提供对沉积表面表现至少某种适应性的沉积装置。
在另一个实施方案中,输送头10的一个或多个输出通道12可使用横向气流布置,公开于由Levy等在2006年3月29日提交的以前引用的美国申请11/392,006,其标题为“APPARATUS FOR ATOMICLAYER DEPOSITION”(原子层沉积装置)。例如,在此实施方案中,通过一定数量的输出通道12,如喷出吹扫气体的那些通道(在图2-3B中标为I的通道),可保持支持输送头10和基片20分离的气压。然后将横向流用于喷出反应气体的一个或多个输出通道12(在图2-3B中标为O或M的通道)。
本发明的装置能有利地在宽范围温度在基片上进行沉积,在一些实施方案中包括室温或接近室温。本发明的装置可在真空环境操作,但尤其适于在或接近大气压操作。
具有根据本发明方法制成的半导体薄膜的薄膜晶体管可显示大于0.01cm2/Vs,优选至少0.1cm2/Vs,更优选大于0.2cm2/Vs的场效应电子迁移率。此外,具有根据本发明制成的半导体薄膜的n-通道薄膜晶体管能够提供至少104,最好至少105的通/断(on/off)比率。通/断比率测量为栅压从1个值扫描到另一个值(代表可能用于显示器栅极线上的相关电压)时的漏电流的最大值/最小值。一般组值为-10V至40V,漏电压保持在30V。
尽管可用空气承载效应使输送头10从基片20的表面至少部分分离,但本发明的装置可供选用于从输送头10的输出表面36提升或悬浮基片20。或者可使用其他类型的基片支架,包括例如台板。
实施例
比较性实施例Cl:
为了与本发明比较,用对照APALD(大气压原子层沉积)在硅晶片上生长Al2O3薄膜,APALD公开于由Levy等在2006年3月29日提交的美国申请11/392,006,其标题为“APPARATUS FOR ATOMICLAYER DEPOSITION”(原子层沉积装置)。APALD装置被构成为具有以下结构的11个输出通道:
通道1:吹扫气体
通道2:含氧化剂的气体
通道3:吹扫气体
通道4:含金属前体的气体
通道5:吹扫气体
通道6:含氧化剂的气体
通道7:吹扫气体
通道8:含金属前体的气体
通道9:吹扫气体
通道10:含氧化剂的气体
通道11:吹扫气体
使薄膜在150℃基片温度生长。输送到APALD涂覆头的气流如下:
(i)氮气惰性吹扫气体以2000sccm(标准立方厘米/分钟)总流速供应到通道1,3,5,7,9,11。
(ii)含三甲基铝(TMA)的氮基气流供应到通道4和8。通过在室温300sccm纯氮气流与7sccm用TMA饱和的氮气流混合,产生该气流。
(iii)含水蒸汽的氮基气流供应到通道2、6和10。通过在室温300sccm纯氮气流与25sccm用水蒸汽饱和的氮气流混合,产生该气流。
利用微米调节机制,将具有以上气体供应流的涂覆头带到基片之上约30微米的固定位置。在此点,使涂覆头跨基片摆动175个周期,以产生约900A厚度的Al2O3薄膜。
通过在铝蒸发期间用荫罩在Al2O3层顶上涂覆铝触点,形成电流漏泄试验结构。此过程在Al2O3顶上得到铝触垫,铝触垫约500A厚,面积500微米×200微米。
通过在所给铝触垫与硅晶片之间施加20V电压,并用HP-
Figure A20078004953500341
参数分析仪测量电流的量,测量从硅晶片到Al触点的电流漏泄。
此样品在20V电压的漏泄电流为8.2×10-8A。
实施例E1:
用本发明的APALD装置在硅晶片上生长Al2O3薄膜。APALD装置被构成为类似于比较性实施例C1的装置。使薄膜在150℃基片温度生长。输送到APALD涂覆头的气流如下:
(i)氮气惰性吹扫气体以3000sccm总流速供应到通道1、3、5、7、9和11。
(ii)含三甲基铝的氮基气流供应到通道4和8。通过在室温~400sccm纯氮气流与3.5sccm用TMA饱和的氮气流混合,产生该气流。
(iii)含水蒸汽的氮基气流供应到通道2、6和10。通过在室温~350sccm纯氮气流与20sccm用水蒸汽饱和的氮气流混合,产生该气流。
使具有以上气体供应流的涂覆头与基片接近,然后释放,以便其基于前述气流在基片上悬浮。在此点,使涂覆头跨基片摆动300个周期,以产生约厚度的Al2O3薄膜。
利用与实施例C1中相同的步骤和触垫大小,通过在Al2O3层顶上涂覆铝触垫形成电流漏泄试验结构。
在20V电压通过Al2O3电介质的漏泄为1.3×10-11A。可从此试验数据看到,本实施例的气体提升涂覆头产生具有显著较低电流漏泄的薄膜,这是制造有用电介质薄膜所需的。
部件清单
10                输送头
12                输出通道
14,16,18        气体入管
20                基片
22                排气通道
24                排气管
28a,28b,28c     气体供应源
30                传动装置
32                供应线
36                输出面
50                室
52                传输电动机
54                传输子系统
56                控制逻辑处理器
60                原子层沉积(ALD)系统
62                网传送装置
64                输送头传输装置
66                网基片
70                原子层沉积(ALD)系统
74                基片载体
90                前体物质的引导通道
91                排气引导通道
92                吹扫气体的引导通道
96                基片载体
98                气体流体承载
100               连接板
102               引导室
104               输入口
110               气室板
112,113,115     供气室
114,116          排气室
120               气体引导板
122               前体物质的引导通道
部件清单-续
123               排气引导通道
130               底板
132               伸长的喷出通道
134               伸长的排气通道
140               气体扩散器装置
142               喷嘴板
143,147,149     第一、第二、第三扩散器输出通路
146               气体扩散器板
148               面板
150               输送组合件
152               伸长的喷出通道
154               伸长的排气通道
160               隔板
162               吹扫板
164               排气板
166,166′        反应物板
168               孔
170             弹簧
180             序列第一排气槽
182             序列第二排气槽
184             序列第三排气槽
A               箭头
D               距离
E               排气板
F1,F2,F3,F4  气流
H               高度
I               第三惰性气态物质
K               方向
M               第二气态反应物质
O               第一气态反应物质
P               吹扫板
R               反应物板
部件清单-续
S               隔板
w1,w2          通道宽度
X               箭头

Claims (64)

1.一种在基片上沉积固体物质薄膜的沉积系统,所述沉积系统包括:
a)分别用于多种气态物质的多个源,所述源包括分别用于第一气态物质、第二气态物质和第三气态物质的至少第一源、第二源和第三源;
b)输送头,所述输送头用于将气态物质输送到接收薄膜沉积的基片,并且包括:
(i)多个入口,所述入口包括分别用于接收第一气态物质、第二气态物质和第三气态物质的至少第一入口、第二入口和第三入口;和
(ii)输出面,所述输出面包括多个输出口,并且以从基片表面一定距离面向基片,其中第一气态物质、第二气态物质和第三气态物质同时从输出面中的输出口排出;
c)用于支持基片的任选基片载体;并且
d)在薄膜沉积期间在输送头的输出面和基片表面之间保持实质均匀距离,其中对于薄膜沉积由一种或多种气态物质流从输送头到基片表面产生的压力提供使输送头的输出面从基片表面分离的至少一部分力。
2.权利要求1的沉积系统,其中实质均匀距离由一个或多个气态物质流产生的压力实质保持,其中距离可通过改变一种或多种气态物质的流速来调节。
3.权利要求1的沉积系统,所述沉积系统进一步包括偶合到输送头的传动装置,从而提供输送头沿着基片表面的往复移动。
4.权利要求1的沉积系统,其中至少一个输出口的宽度为0.05至2mm。
5.权利要求1的沉积系统,其中输出面在横截面上具有曲率。
6.权利要求1的沉积系统,其中输出口在横截面上为长方形。
7.权利要求1的沉积系统,其中输送头还包括至少一个排气口。
8.权利要求7的沉积系统,其中至少一个排气口允许气态物质循环再用。
9.权利要求1的沉积系统,所述沉积系统还包括用于支持基片的基片载体,其中沉积系统能够在操作期间在输出面和基片表面之间提供相对移动。
10.权利要求9的沉积系统,所述沉积系统进一步包括偶合到输送头的传动装置,以在实质垂直于输出口长度方向的方向提供输送头往复移动,从而提供具有摆动移动的输送头。
11.权利要求9的沉积系统,其中基片载体包括用于沿着输送头的输出面移动基片的传输装置。
12.权利要求9的沉积系统,其中用于固体物质薄膜沉积的基片的总表面积超过输送头输出面的表面积。
13.权利要求9的沉积系统,其中基片载体传送移动网。
14.权利要求1的沉积系统,其中基片表面保持在输送头输出面0.4mm内的分离距离。
15.权利要求13的沉积系统,其中由传输装置提供的网的移动是连续的,任选往复移动。
16.权利要求1的沉积系统,其中第一气态物质开口、第二气态物质开口和第三气态物质开口的流在薄膜沉积期间是实质连续的。
17.权利要求1的沉积系统,所述沉积系统进一步包括在薄膜沉积期间容纳输送头和基片的室。
18.权利要求13的沉积系统,其中基片和输送头对大气开放。
19.权利要求11的沉积系统,所述沉积系统进一步包括传送装置,所述传送装置用于将网基片移动通过输送头的输出面,以在网基片的区域上实现薄膜沉积,其中网基片实质均匀紧密接近输送头的输出面,其中所述沉积系统在系统操作期间能够在输出面和基片表面之间提供相对移动,同时保持紧密接近。
20.权利要求19的沉积系统,所述沉积系统进一步包括用于以横向于网移动的方向移动输送头的传输组合件。
21.权利要求1的沉积系统,其中使基片表面从输送头面分离的压力实质相等地由来自输送头面的所有气流提供。
22.权利要求1的沉积系统,其中一系列气流由输送头输出面中的排气出口相互分离。
23.权利要求1的沉积系统,其中所述第一气态反应物质和第二气态反应物质的流实质由至少惰性吹扫气体和排气出口在空间上分离。
24.权利要求1的沉积系统,其中气流通过输送头输出面上的实质平行伸长开口提供。
25.权利要求24的沉积系统,其中实质平行的伸长开口实质为同心的。
26.权利要求25的沉积系统,其中提供惰性气流的另外的伸长开口垂直于实质平行的伸长开口。
27.权利要求26的沉积系统,其中实质垂直的伸长开口位于实质平行的伸长开口的末端和输送头的外边缘之间。
28.权利要求1的沉积系统,其中在输送头的输出面和基片之间保持的实质均匀距离小于1mm。
29.权利要求1的沉积系统,其中在输送头的输出面和基片之间保持的实质均匀距离小于500微米。
30.权利要求1的沉积系统,其中在输送头的输出面和基片之间保持的实质均匀距离小于200微米。
31.权利要求1的沉积系统,其中输送头的输出面具有输出面总面积至少95%的着陆面积。
32.权利要求1的沉积系统,其中输送头的输出面具有输出面总面积至少85%的着陆面积。
33.权利要求1的沉积系统,其中输送头的输出面具有输出面总面积至少75%的着陆面积。
34.权利要求26的沉积系统,其中离开伸长开口的气态物质具有沿着开口长度实质相等至不大于10%偏差内的压力。
35.权利要求1的沉积系统,其中基片在为台板的基片支架上。
36.权利要求1的沉积系统,其中气体流体承载使基片或用于基片的基片支架悬浮,也任选为输送头提供支持,此气体流体承载将气压、任选用惰性气体施加到与面对输送头的第一表面相反的基片的第二表面上。
37.权利要求20的沉积系统,其中在离开输送头的基片的相反侧上提供另外的第二输送头,使得基片的两侧均可同时或依次经过薄膜沉积,而不使基片从其在第一沉积部分和第二沉积部分之间位置移位。
38.权利要求1的沉积系统,其中在被处理基片上输送头的输出面为刚性,并且为平面或非平面。
39.权利要求1的沉积系统,其中在被处理基片上输送头的输出面为柔性,以适应基片。
40.权利要求1的沉积系统,所述沉积系统还包括提升或压缩部件,所述部件用于提供帮助保持输出面和基片之间分离距离的力。
41.权利要求1的沉积系统,其中基片支架在沉积期间与基片接触,并且/或者传送基片的装置在沉积期间与基片接触。
42.一种在基片上沉积薄膜物质的方法,所述方法包括从薄膜沉积系统的输送头的输出面朝向基片的表面同时引导一系列气流,其中所述系列的气流包括至少第一气态反应物质、惰性吹扫气体和第二气态反应物质,其中第一气态反应物质能够与用第二气态反应物质处理的基片表面反应,其中一个或多个气流提供至少有助于使基片表面从输送头的面分离的压力。
43.权利要求42的方法,其中气流从实质平行的一系列开放伸长输出通道提供,其中输送头的输出面与经历沉积的基片的表面间隔1mm以内。
44.权利要求42的方法,其中基片通过多个隔开的输送头处理。
45.权利要求42的方法,其中基片的给定区域暴露于第一气态反应物质的气流一次小于500毫秒。
46.权利要求42的方法,所述方法进一步包括在输送头和基片之间提供相对移动。
47.权利要求42的方法,其中至少一种反应气体的气流为至少1sccm。
48.权利要求42的方法,其中基片在沉积期间的温度低于300℃。
49.权利要求42的方法,其中第一气态反应物质为含金属的化合物,第二气态反应物质为非金属化合物。
50.权利要求49的方法,其中金属为周期表第II、III、IV、V或VI族的元素。
51.权利要求49的方法,其中含金属的化合物为可在低于300℃温度蒸发的有机金属化合物。
52.权利要求49的方法,其中所述含金属的气态反应物质与非金属气态反应物质反应,以生成选自五氧化钽、氧化铝、氧化钛、五氧化铌、氧化锆、氧化铪、氧化锌、氧化镧、氧化钇、氧化铈、氧化钒、氧化钼、氧化锰、氧化锡、氧化铟、氧化钨、二氧化硅、硫化锌、硫化锶、硫化钙、硫化铅及其混合物的氧化物或硫化物。
53.权利要求42的方法,其中输送头的输出面中第一输出口和最后输出口中第一气流和最后气流不为气态反应物质,以防止方法中所用的气态反应物质与环境空气混合。
54.权利要求42的方法,其中用所述方法在基片上制造半导体或介电薄膜用于晶体管,其中薄膜包含基于金属氧化物的物质,所述方法包括在300℃或更低温度在基片上形成至少一层基于金属氧化物的物质,其中基于金属氧化物的物质为至少两种反应气体的反应产物,第一反应气体包含有机金属前体化合物,第二反应气体包含含氧气态反应物质。
55.权利要求42的方法,其中基片的表面布置在离开输出面低于1mm的距离,相对于其面对基片的开口。
56.权利要求55的方法,其中接近小于0.5mm。
57.权利要求42的方法,其中在方法操作期间,基片载体或结合到输送头的传动装置或两者能够在输出面和基片的表面之间提供相对移动。
58.权利要求42的方法,所述方法进一步包括在沉积期间提供摆动移动的输送头,任选摆动移动垂直于输送头输出通道的长度方向。
59.权利要求42的方法,所述方法进一步包括沿着输送头的输出面移动基片,任选连续以一个方向。
60.权利要求42的方法,其中用于薄膜物质沉积的基片的表面积超过输送头输出面的表面积。
61.权利要求42的方法,其中基片处于输送头输出面0.3mm内的分离距离。
62.权利要求42的方法,其中在第一输出通道、第二输出通道和第三输出通道中的气态物质流在沉积操作期间是实质连续的。
63.权利要求42的方法,其中基片和输送头对大气开放。
64.权利要求42的方法,所述方法用于在基片上沉积薄膜,所述方法进一步包括传送装置,所述传送装置用于将网移动通过输送头的输出面,以在基片的区域上实现薄膜沉积,其中网支持另外的基片或为薄膜沉积所用的基片,其中基片紧密接近输送头的输出面,其中在方法操作期间,用于网的传送装置或用于输送头的传动装置或两者能够在输出面和基片之间提供相对移动,同时保持紧密接近。
CN2007800495356A 2007-01-08 2007-12-26 沉积系统及方法 Active CN101578391B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/620,744 2007-01-08
US11/620,744 US11136667B2 (en) 2007-01-08 2007-01-08 Deposition system and method using a delivery head separated from a substrate by gas pressure
PCT/US2007/026313 WO2008085467A1 (en) 2007-01-08 2007-12-26 Deposition system and method

Publications (2)

Publication Number Publication Date
CN101578391A true CN101578391A (zh) 2009-11-11
CN101578391B CN101578391B (zh) 2013-07-24

Family

ID=39272914

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800495356A Active CN101578391B (zh) 2007-01-08 2007-12-26 沉积系统及方法

Country Status (7)

Country Link
US (3) US11136667B2 (zh)
EP (2) EP2122005B1 (zh)
JP (2) JP2010515821A (zh)
KR (1) KR20090101918A (zh)
CN (1) CN101578391B (zh)
TW (1) TW200837214A (zh)
WO (1) WO2008085467A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102687261A (zh) * 2009-11-19 2012-09-19 磊威技术有限公司 具有横向稳定机构的浮动式晶片轨道
CN102732861A (zh) * 2011-04-14 2012-10-17 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及具有其的化学气相沉积设备
CN112292480A (zh) * 2018-04-12 2021-01-29 Beneq有限公司 喷嘴头和设备
CN112513326A (zh) * 2018-07-30 2021-03-16 法国国家科学研究中心 用于气相沉积的紧凑头部和紧凑系统

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US7875559B2 (en) * 2007-01-09 2011-01-25 Electronics And Telecommunications Research Institute Method of manufacturing P-type ZnO semiconductor layer using atomic layer deposition and thin film transistor including the P-type ZnO semiconductor layer
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US20080299771A1 (en) * 2007-06-04 2008-12-04 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR20090088056A (ko) * 2008-02-14 2009-08-19 삼성전기주식회사 가스공급 유닛 및 화학기상증착 장치
US11634815B2 (en) 2008-07-03 2023-04-25 Rasirc, Inc. Method, system, and device for storage and delivery of process gas from a substrate
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
FR2956869B1 (fr) * 2010-03-01 2014-05-16 Alex Hr Roustaei Systeme de production de film flexible a haute capacite destine a des cellules photovoltaiques et oled par deposition cyclique des couches
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US20110097491A1 (en) * 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097493A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097490A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097487A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097488A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US9347987B2 (en) 2009-11-06 2016-05-24 Intel Corporation Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
US7998878B2 (en) * 2009-11-20 2011-08-16 Eastman Kodak Company Method for selective deposition and devices
US8168546B2 (en) 2009-11-20 2012-05-01 Eastman Kodak Company Method for selective deposition and devices
US8153529B2 (en) * 2009-11-20 2012-04-10 Eastman Kodak Company Method for selective deposition and devices
US20110120543A1 (en) * 2009-11-20 2011-05-26 Levy David H Method for selective deposition and devices
US8318249B2 (en) * 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
US20110140726A1 (en) * 2009-11-23 2011-06-16 Applied Materials, Inc. Apparatus and Methods for Measuring Solar Cell Module Performance
EP2362002A1 (en) * 2010-02-18 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Continuous patterned layer deposition
US8803203B2 (en) 2010-02-26 2014-08-12 Eastman Kodak Company Transistor including reentrant profile
US7923313B1 (en) 2010-02-26 2011-04-12 Eastman Kodak Company Method of making transistor including reentrant profile
KR20130062980A (ko) * 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
FI20105903A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
JP5369304B2 (ja) * 2010-09-30 2013-12-18 ソイテック 原子層堆積によって半導体材料を形成するためのシステム及び方法
KR101819781B1 (ko) 2010-10-16 2018-02-28 울트라테크 인크. 원자 층 증착 코팅 시스템
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
WO2012094109A1 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including reduced channel length
US7985684B1 (en) 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
EP2661776A2 (en) 2011-01-07 2013-11-13 Eastman Kodak Company Transistor including multiple reentrant profiles
US8492769B2 (en) 2011-01-07 2013-07-23 Eastman Kodak Company Transistor including multi-layer reentrant profile
US8409937B2 (en) 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130000679A1 (en) * 2011-07-01 2013-01-03 Parra-Garcia Manuel Multi-channel de-applicator
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8623757B2 (en) 2011-09-29 2014-01-07 Eastmak Kodak Company Producing a vertical transistor including reentrant profile
US8273654B1 (en) 2011-09-29 2012-09-25 Eastman Kodak Company Producing a vertical transistor including reentrant profile
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
KR20140008751A (ko) * 2012-07-11 2014-01-22 김원구 알루미늄-실리콘 화합물의 증착방법 및 그 증착장치
KR20140013726A (ko) * 2012-07-26 2014-02-05 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US8927434B2 (en) 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
US8653516B1 (en) 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US8791023B2 (en) 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8846545B2 (en) 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack
TWI470110B (zh) * 2012-09-07 2015-01-21 Manz Taiwan Ltd 用於化學沉積設備的夾固裝置
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
KR102124042B1 (ko) 2013-02-18 2020-06-18 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법
TWI644073B (zh) 2013-03-11 2018-12-11 美商應用材料股份有限公司 高溫處理室蓋體
WO2014197396A1 (en) * 2013-06-03 2014-12-11 Ultratech, Inc. Gas deposition head for spatial ald
US8946070B2 (en) 2013-06-19 2015-02-03 Eastman Kodak Company Four terminal transistor fabrication
US20140374806A1 (en) 2013-06-19 2014-12-25 Lee W. Tutt Four terminal transistor
US8937016B2 (en) 2013-06-21 2015-01-20 Eastman Kodak Company Substrate preparation for selective area deposition
US8921236B1 (en) 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
KR101530445B1 (ko) * 2013-12-23 2015-07-02 신화일렉트론 주식회사 금속 산화막 형성 장치
US10184179B2 (en) 2014-01-21 2019-01-22 Applied Materials, Inc. Atomic layer deposition processing chamber permitting low-pressure tool replacement
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
US9198283B2 (en) 2014-03-06 2015-11-24 Eastman Kodak Company Vertically spaced electrode structure
US9202898B2 (en) 2014-03-06 2015-12-01 Eastman Kodak Company Fabricating VTFT with polymer core
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
WO2015134082A1 (en) 2014-03-06 2015-09-11 Eastman Kodak Company Vtft with polymer core
US9236486B2 (en) 2014-03-06 2016-01-12 Eastman Kodak Company Offset independently operable VTFT electrodes
US9147770B1 (en) 2014-03-06 2015-09-29 Eastman Kodak Company VTFT with extended electrode
US9093470B1 (en) 2014-03-06 2015-07-28 Eastman Kodak Company VTFT formation using capillary action
US9214560B2 (en) 2014-03-06 2015-12-15 Eastman Kodak Company VTFT including overlapping electrodes
US9331205B2 (en) 2014-03-06 2016-05-03 Eastman Kodak Company VTFT with post, cap, and aligned gate
US9153698B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company VTFT with gate aligned to vertical structure
US9178029B2 (en) 2014-03-06 2015-11-03 Eastman Kodak Company Forming a VTFT gate using printing
US9153445B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company Forming a VTFT with aligned gate
US9123815B1 (en) 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9142647B1 (en) 2014-03-06 2015-09-22 Eastman Kodak Company VTFT formation using selective area deposition
US9129993B1 (en) 2014-03-06 2015-09-08 Eastman Kodak Company Forming a VTFT using printing
KR101539095B1 (ko) * 2014-05-08 2015-07-24 (주)브이앤아이솔루션 박막증착장치 및 그에 사용되는 리니어소스
US9634145B2 (en) 2014-10-29 2017-04-25 Eastman Kodak Company TFT substrate with variable dielectric thickness
US9368490B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement-depletion mode inverter with two transistor architectures
US9299853B1 (en) 2014-09-16 2016-03-29 Eastman Kodak Company Bottom gate TFT with multilayer passivation
US9328418B2 (en) 2014-09-16 2016-05-03 Eastman Kodak Company Method of forming a patterned polymer layer
US9443887B1 (en) 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
US9391210B2 (en) 2014-09-16 2016-07-12 Eastman Kodak Company Top gate TFT with polymer interface control layer
US9368491B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement mode inverter with variable thickness dielectric stack
US9620501B1 (en) 2014-09-16 2017-04-11 Eastman Kodak Company Enhancement-depletion mode circuit element with differential passivation
MX2014013233A (es) * 2014-10-30 2016-05-02 Ct Investig Materiales Avanzados Sc Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol.
NL2013739B1 (en) * 2014-11-04 2016-10-04 Asm Int Nv Atomic layer deposition apparatus and method for processing substrates using an apparatus.
US9528184B2 (en) 2015-02-13 2016-12-27 Eastman Kodak Company Atomic-layer deposition method using compound gas jet
US9506147B2 (en) 2015-02-13 2016-11-29 Eastman Kodak Company Atomic-layer deposition apparatus using compound gas jet
US9499908B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Atomic layer deposition apparatus
US9499906B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Coating substrate using bernoulli atomic-layer deposition
US9653493B2 (en) 2015-06-12 2017-05-16 Eastman Kodak Company Bottom-gate and top-gate VTFTs on common structure
US9401430B1 (en) 2015-06-12 2016-07-26 Eastman Kodak Company VTFT with a top-gate structure
JP6639657B2 (ja) 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱質量が小さい加圧チャンバ
CN108138320B (zh) 2015-10-19 2020-11-03 东芝三菱电机产业系统株式会社 成膜装置
US11168391B2 (en) * 2016-04-11 2021-11-09 Universal Display Corporation Nozzle exit contours for pattern composition
US10020327B2 (en) 2016-06-07 2018-07-10 Eastman Kodak Company Method for selective thin film deposition
US10074554B2 (en) 2016-06-27 2018-09-11 Tel Nexx, Inc. Workpiece loader for a wet processing system
FI127502B (en) * 2016-06-30 2018-07-31 Beneq Oy Method and apparatus for coating a substrate
US9859308B1 (en) 2016-07-29 2018-01-02 Eastman Kodak Company Multiple TFTs on common vertical support element
JP6568508B2 (ja) * 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9799752B1 (en) 2016-10-31 2017-10-24 Eastman Kodak Company Method for forming a thin-film transistor
US10584413B2 (en) * 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US10501848B2 (en) 2017-03-14 2019-12-10 Eastman Kodak Company Deposition system with modular deposition heads
US10895011B2 (en) 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
FI128453B (en) * 2017-10-18 2020-05-29 Beneq Oy Apparatus for processing the surface of a substrate
CN107604309B (zh) * 2017-11-06 2023-09-15 京东方科技集团股份有限公司 掩膜板贴合装置以及其贴合方法
EP3710126A4 (en) 2017-11-17 2021-08-11 Rasirc, Inc. PROCESS, SYSTEM AND DEVICE FOR STORAGE AND DISTRIBUTION OF TREATMENT GAS FROM A SUBSTRATE
US10606213B2 (en) 2017-12-12 2020-03-31 Eastman Kodak Company Embedding an optically-detectable pattern of information in an electrical element
US10354963B2 (en) 2017-12-12 2019-07-16 Eastman Kodak Company Decoding information embedded in an electronic element
US10542619B2 (en) 2017-12-12 2020-01-21 Eastman Kodak Company Electronic element with embedded information
US11588140B2 (en) * 2018-01-12 2023-02-21 Universal Display Corporation Organic vapor jet print head for depositing thin film features with high thickness uniformity
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
CN110042364B (zh) * 2019-03-15 2021-04-06 纳晶科技股份有限公司 一种沉积装置以及沉积方法
WO2021030336A1 (en) 2019-08-12 2021-02-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
WO2021108656A1 (en) 2019-11-26 2021-06-03 Carpe Diem Technologies, Inc. Atomic layer deposition system
US20220243326A1 (en) * 2019-12-18 2022-08-04 Kevin P MUSSELMAN Apparatus and method for thin film deposition
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
EP3992328A1 (en) 2020-11-02 2022-05-04 SMIT Thermal Solutions Layer deposition device
US20230047186A1 (en) * 2021-08-13 2023-02-16 Nano-Master, Inc. Apparatus and Methods for Roll-to-Roll (R2R) Plasma Enhanced/Activated Atomic Layer Deposition (PEALD/PAALD)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4801352A (en) * 1986-12-30 1989-01-31 Image Micro Systems, Inc. Flowing gas seal enclosure for processing workpiece surface with controlled gas environment and intense laser irradiation
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US20030036272A1 (en) * 2000-06-13 2003-02-20 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US20030140851A1 (en) * 2002-01-25 2003-07-31 Applied Materials, Inc. Gas distribution showerhead
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6929830B2 (en) * 1997-12-12 2005-08-16 Canon Kabushiki Kaisha Plasma treatment method and method of manufacturing optical parts using the same
US20060130759A1 (en) * 2001-08-28 2006-06-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3588176A (en) 1968-11-13 1971-06-28 Ibm Article transport system and method
US4226526A (en) * 1976-10-04 1980-10-07 Harry Arthur Hele Spence-Bate Transport and positioning mechanism
US4081201A (en) * 1976-12-27 1978-03-28 International Business Machines Corporation Wafer air film transportation system
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
SU980146A1 (ru) * 1981-06-24 1982-12-07 Предприятие П/Я А-1067 Плавающа оптическа головка
NL8103979A (nl) * 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
US4663197A (en) * 1981-08-26 1987-05-05 Integrated Automation Limited Method and apparatus for coating a substrate
NL8203318A (nl) 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
US4607167A (en) * 1982-10-19 1986-08-19 Varian Associates, Inc. Charged particle beam lithography machine incorporating localized vacuum envelope
US4594702A (en) * 1982-11-12 1986-06-10 Discovision Associates Fluid bearing for axially movable head
US4622918A (en) 1983-01-31 1986-11-18 Integrated Automation Limited Module for high vacuum processing
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4574093A (en) 1983-12-30 1986-03-04 At&T Bell Laboratories Deposition technique
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61294812A (ja) 1985-06-24 1986-12-25 Hitachi Ltd 気相浮上エピタキシヤル成長装置
JPS6221237A (ja) 1985-07-22 1987-01-29 Ulvac Corp ウエハ位置決め用テ−ブル
JPS62142783A (ja) * 1985-12-18 1987-06-26 Canon Inc プラズマcvd法による堆積膜形成装置
JPS62150711A (ja) 1985-12-24 1987-07-04 Seiko Epson Corp 気相成長法
JP2679073B2 (ja) * 1987-01-27 1997-11-19 旭硝子株式会社 常圧cvd用ガス導入ノズル
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
JP2832724B2 (ja) * 1989-06-16 1998-12-09 東京エレクトロン株式会社 被処理体処理装置
KR0170391B1 (ko) 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JP3061401B2 (ja) * 1990-07-20 2000-07-10 株式会社東芝 半導体気相成長装置
JPH077046B2 (ja) * 1990-09-20 1995-01-30 新技術事業団 静磁場測定装置
US5155062A (en) * 1990-12-20 1992-10-13 Cree Research, Inc. Method for silicon carbide chemical vapor deposition using levitated wafer system
US5122391A (en) 1991-03-13 1992-06-16 Watkins-Johnson Company Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
US5134963A (en) * 1991-10-28 1992-08-04 International Business Machines Corporation LPCVD reactor for high efficiency, high uniformity deposition
JPH06155398A (ja) * 1992-11-25 1994-06-03 Osaki Eng Kk Pcb加工機およびその運転方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH0992134A (ja) * 1995-09-22 1997-04-04 Dainippon Printing Co Ltd ノズル塗布方法及び装置
NL1003538C2 (nl) * 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US5981970A (en) 1997-03-25 1999-11-09 International Business Machines Corporation Thin-film field-effect transistor with organic semiconductor requiring low operating voltages
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US5898179A (en) * 1997-09-10 1999-04-27 Orion Equipment, Inc. Method and apparatus for controlling a workpiece in a vacuum chamber
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
DE19835339A1 (de) * 1998-08-05 2000-02-17 Alfred Jaeger Luftlager, insbesondere für die Welle einer Motorspindel
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
JP3543672B2 (ja) * 1999-04-22 2004-07-14 シャープ株式会社 プラズマを用いた試料の表面処理装置
WO2000046911A1 (fr) * 1999-02-04 2000-08-10 Nikon Corporation Dispositif a moteur plat et procede d'entrainement correspondant, unite d'activation et procede d'entrainement correspondant, appareil d'exposition et procede correspondant et dispositif avec procede de fabrication correspondant
TWI242112B (en) * 1999-04-19 2005-10-21 Asml Netherlands Bv Lithographic projection apparatus and method of operating a lithographic projection apparatus
TW513617B (en) * 1999-04-21 2002-12-11 Asml Corp Lithographic projection apparatus and method of manufacturing a device using a lithographic projection apparatus
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
US6583597B2 (en) * 2000-07-07 2003-06-24 Nikon Corporation Stage apparatus including non-containing gas bearings and microlithography apparatus comprising same
JP2002208563A (ja) * 2001-01-09 2002-07-26 Ebara Corp 被加工物の加工装置及び加工方法
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6764386B2 (en) * 2002-01-11 2004-07-20 Applied Materials, Inc. Air bearing-sealed micro-processing chamber
US6796054B2 (en) * 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
JP3852356B2 (ja) * 2002-03-27 2006-11-29 日本ゼオン株式会社 ディップ成形用組成物、ディップ成形品およびその製造方法
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
JP4364494B2 (ja) * 2002-10-07 2009-11-18 積水化学工業株式会社 プラズマ表面処理装置
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7064089B2 (en) 2002-12-10 2006-06-20 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus and method for plasma treatment
JP3866655B2 (ja) * 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US6888172B2 (en) 2003-04-11 2005-05-03 Eastman Kodak Company Apparatus and method for encapsulating an OLED formed on a flexible substrate
EP1498778A1 (en) * 2003-06-27 2005-01-19 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005171272A (ja) 2003-12-08 2005-06-30 Sony Corp レーザcvd装置
JP2005179705A (ja) * 2003-12-17 2005-07-07 Sony Corp レーザcvd装置
KR101748504B1 (ko) * 2004-01-05 2017-06-16 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
US20050172897A1 (en) * 2004-02-09 2005-08-11 Frank Jansen Barrier layer process and arrangement
US8133364B2 (en) * 2004-02-17 2012-03-13 Advanced Integration, Inc. Formation of photoconductive and photovoltaic films
DE102004015216B4 (de) * 2004-03-23 2006-07-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Modul und Verfahren für die Modifizierung von Substratoberflächen bei Atmosphärenbedingungen
EP2490248A3 (en) * 2004-04-19 2018-01-03 Nikon Corporation Exposure apparatus and device manufacturing method
EP1756663B1 (en) * 2004-06-17 2015-12-16 Nikon Corporation Fluid pressure compensation for immersion lithography lens
JP2006005316A (ja) 2004-06-21 2006-01-05 Seiko Epson Corp プラズマ処理装置
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
JP2006040936A (ja) * 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
TWI506674B (zh) * 2004-09-17 2015-11-01 尼康股份有限公司 Exposure apparatus, exposure method, and device manufacturing method
JP2006147773A (ja) * 2004-11-18 2006-06-08 Ebara Corp 研磨装置および研磨方法
US20060214154A1 (en) 2005-03-24 2006-09-28 Eastman Kodak Company Polymeric gate dielectrics for organic thin film transistors and methods of making the same
US7583358B2 (en) * 2005-07-25 2009-09-01 Micron Technology, Inc. Systems and methods for retrieving residual liquid during immersion lens photolithography
WO2007016689A1 (en) * 2005-08-02 2007-02-08 New Way Machine Components, Inc. Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays
US7456928B2 (en) * 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
JP2007073832A (ja) * 2005-09-08 2007-03-22 Ntt Advanced Technology Corp パタン転写装置
US20070076780A1 (en) * 2005-09-30 2007-04-05 Champetier Robert J Devices, systems and methods for determining temperature and/or optical characteristics of a substrate
JP5358057B2 (ja) 2006-02-24 2013-12-04 富士フイルム株式会社 放射線画像撮影装置及び撮影方法
ATE507320T1 (de) * 2006-03-26 2011-05-15 Lotus Applied Technology Llc Atomlagenabscheidungssystem und verfahren zur beschichtung von flexiblen substraten
US7413982B2 (en) 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097490A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097491A1 (en) * 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
CN102709731A (zh) 2012-05-04 2012-10-03 东莞高得电工器材有限公司 一种防触电的电源插座
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
US10422038B2 (en) * 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20190186012A1 (en) * 2017-12-19 2019-06-20 Eastman Kodak Company Thin-film optical device with varying layer composition

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4801352A (en) * 1986-12-30 1989-01-31 Image Micro Systems, Inc. Flowing gas seal enclosure for processing workpiece surface with controlled gas environment and intense laser irradiation
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6929830B2 (en) * 1997-12-12 2005-08-16 Canon Kabushiki Kaisha Plasma treatment method and method of manufacturing optical parts using the same
US20030036272A1 (en) * 2000-06-13 2003-02-20 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US20060130759A1 (en) * 2001-08-28 2006-06-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere
US20030140851A1 (en) * 2002-01-25 2003-07-31 Applied Materials, Inc. Gas distribution showerhead
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102687261A (zh) * 2009-11-19 2012-09-19 磊威技术有限公司 具有横向稳定机构的浮动式晶片轨道
CN102687261B (zh) * 2009-11-19 2015-11-25 Asm国际股份有限公司 具有横向稳定机构的浮动式晶片轨道
CN102732861A (zh) * 2011-04-14 2012-10-17 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及具有其的化学气相沉积设备
CN112292480A (zh) * 2018-04-12 2021-01-29 Beneq有限公司 喷嘴头和设备
CN112292480B (zh) * 2018-04-12 2023-03-10 Beneq有限公司 喷嘴头和设备
CN112513326A (zh) * 2018-07-30 2021-03-16 法国国家科学研究中心 用于气相沉积的紧凑头部和紧凑系统

Also Published As

Publication number Publication date
KR20090101918A (ko) 2009-09-29
EP3002346A1 (en) 2016-04-06
EP2122005B1 (en) 2015-11-11
CN101578391B (zh) 2013-07-24
WO2008085467A1 (en) 2008-07-17
EP2122005A1 (en) 2009-11-25
EP3002346B1 (en) 2018-01-24
US20090130858A1 (en) 2009-05-21
US10351954B2 (en) 2019-07-16
TW200837214A (en) 2008-09-16
JP2010515821A (ja) 2010-05-13
JP2015078442A (ja) 2015-04-23
US20180148839A1 (en) 2018-05-31
US20170029949A1 (en) 2017-02-02
US11136667B2 (en) 2021-10-05

Similar Documents

Publication Publication Date Title
CN101578391B (zh) 沉积系统及方法
CN101809196B (zh) 用于形成薄膜的沉积系统
US20080166880A1 (en) Delivery device for deposition
CN101809193A (zh) 使用具有空间分隔的反应性气体的气体输送头和移动基材经过输送头的用于形成薄膜的方法和沉积系统
US8420168B2 (en) Delivery device for deposition
CN102027603B (zh) 沉积有机材料的方法
US8207063B2 (en) Process for atomic layer deposition
US7851380B2 (en) Process for atomic layer deposition
CN101415860A (zh) 原子层沉积方法
CN101809187A (zh) 用于无机材料的选择区域沉积的有机硅氧烷材料
CN101821427A (zh) 具有存在反应性气体空间分离的气体输送头和通过该输送头的基材运动的形成薄膜用的方法和沉积系统
WO2009042144A2 (en) Process for making doped zinc oxide
US20140206137A1 (en) Deposition system for thin film formation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant