KR20060090449A - 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자 - Google Patents

미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자 Download PDF

Info

Publication number
KR20060090449A
KR20060090449A KR1020050010981A KR20050010981A KR20060090449A KR 20060090449 A KR20060090449 A KR 20060090449A KR 1020050010981 A KR1020050010981 A KR 1020050010981A KR 20050010981 A KR20050010981 A KR 20050010981A KR 20060090449 A KR20060090449 A KR 20060090449A
Authority
KR
South Korea
Prior art keywords
film
forming
layer
dual damascene
interlayer insulating
Prior art date
Application number
KR1020050010981A
Other languages
English (en)
Other versions
KR100690881B1 (ko
Inventor
김재학
이선정
이승진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050010981A priority Critical patent/KR100690881B1/ko
Priority to US11/223,311 priority patent/US7541276B2/en
Priority to TW095103706A priority patent/TWI362087B/zh
Priority to CN200610007141A priority patent/CN100576494C/zh
Priority to JP2006028750A priority patent/JP5047504B2/ja
Publication of KR20060090449A publication Critical patent/KR20060090449A/ko
Application granted granted Critical
Publication of KR100690881B1 publication Critical patent/KR100690881B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05BLOCKS; ACCESSORIES THEREFOR; HANDCUFFS
    • E05B49/00Electric permutation locks; Circuits therefor ; Mechanical aspects of electronic locks; Mechanical keys therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05BLOCKS; ACCESSORIES THEREFOR; HANDCUFFS
    • E05B17/00Accessories in connection with locks
    • E05B17/22Means for operating or controlling lock or fastening device accessories, i.e. other than the fastening members, e.g. switches, indicators
    • E05B17/226Displays on locks, e.g. LED or LCD screens
    • GPHYSICS
    • G07CHECKING-DEVICES
    • G07CTIME OR ATTENDANCE REGISTERS; REGISTERING OR INDICATING THE WORKING OF MACHINES; GENERATING RANDOM NUMBERS; VOTING OR LOTTERY APPARATUS; ARRANGEMENTS, SYSTEMS OR APPARATUS FOR CHECKING NOT PROVIDED FOR ELSEWHERE
    • G07C9/00Individual registration on entry or exit
    • G07C9/00174Electronically operated locks; Circuits therefor; Nonmechanical keys therefor, e.g. passive or active electrical keys or other data carriers without mechanical keys

Abstract

미세 전자 소자의 듀얼 다마신 배선 제조 방법이 제공된다. 듀얼 다마신 배선 제조 방법은 하부 배선이 형성된 기판 상에 층간 절연막을 형성한 후, 층간 절연막내에 하부 배선을 노출시키는 비아를 형성한다. 이어서, 비아에 의해 노출된 하부 배선 상에 비아 캡핑막을 형성하고, 비아를 충전재로 채운다. 비아를 매립한 충전재와 층간 절연막을 일부 식각하여 비아와 연결되고 배선이 형성될 트렌치를 형성한 후, 비아에 잔류하는 충전재를 제거하고, 트렌치 및 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성한다. 듀얼 다마신 배선 제조 방법에 따라 제조된 듀얼 다마신 배선을 포함하는 미세 전자 소자 또한 제공된다.
듀얼 다마신, 비아 캡핑막, 저항

Description

미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에 의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자{Fabrication method of dual damascene interconnections of microelectronics and microelectronics having dual damascene interconnections fabricated thereby}
도 1 내지 도 11b는 본 발명의 제1 실시예에 따른 듀얼 다마신 배선 제조 방법 공정 단계별 단면도들이다.
도 12 내지 도 20b는 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법 공정 단계별 단면도들이다.
도 21는 본 발명의 제1 실시예에 따라 제조된 듀얼 다마신 배선과 종래의 방법에 의해 제조된 듀얼 다마신 배선의 저항을 측정한 결과를 나타내는 그래프이다.
(도면의 주요 부분에 대한 부호의 설명)
100: 기판 110: 하부 배선
120: 확산 방지 및 식각정지막 130: 층간절연막
140: 캡핑막 144, 184, 284: 반사방지막
145a, 185a, 285a: 포토레지스트 패턴
150: 비아 155: 비아 캡핑막
162, 262: 충전재 190, 290: 트렌치
195, 295: 듀얼 다마신 영역 282a: 하드마스크
230, 330: 듀얼 다마신 배선
본 발명은 미세 전자 소자의 제조 방법에 관한 것으로, 보다 구체적으로는 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에 의해 제조된 듀얼 다마신 배선을 포함하는 미세 전자 소자에 관한 것이다.
미세 전자 소자의 고성능화 및 고집적화를 위해서 다층 배선 구조가 널리 적용되고 있다. 다층 배선 구조를 채택한 소자를 신뢰도 있게 구현하기 위해서는 각 배선층을 전체적으로 평탄하게 형성해야 한다. 이를 위해 듀얼 다마신 배선이 적용되기 시작했다.
또, 미세 전자 소자의 고성능화를 위해서 듀얼 다마신 배선의 디자인 룰이 130nm 이하 나아가 45nm까지 감소함에 따라 나타나는 RC 신호지연(RC delay)과 상호 간섭(cross talk) 및 전력 소비(power consumption)의 증가를 해결하기 위해서 저유전율 층간절연막(low-k intermetal dielectric layer)을 사용한다. 그러나, 디자인 룰이 작아질수록 층간절연막과 배선 사이에 개재되는 확산 방지 및 식각 정지막의 프린지(fringe) 커패시턴스가 유효 커패시턴스에 미치는 영향이 커져서 저유전율의 층간절연막을 사용하는 효과를 충분히 볼수 없게 된다. 따라서 유효 커패시턴스를 최소화하기 위해서는 확산 방지 및 식각 정지막의 두께를 최소화하여야 한 다.
그런데 확산 방지 및 식각 정지막의 두께가 최소화될수록 비아 퍼스트법의 듀얼 다마신 제조 방법에서 비아를 형성하기 위한 식각 공정시 확산 방지 및 식각 정지막이 손상 또는 오픈되는 경우가 빈번하게 발생한다. 식각 정지막이 손상 또는 오픈된 상태로 하부 배선이 후속 공정, 예컨대, 트렌치 형성을 위한 포토레지스트막의 베이킹, 트렌치 식각, 트렌치를 정의하는 포토레지스트 패턴의 애슁, 유기 스트리핑 등에 장시간 동안 노출될 경우 하부 배선이 쉽게 식각 소모되어 손상된다. 또, 배선 산화물이 쉽게 형성되어 비아를 통해 층간절연막 표면을 오염시키고 듀얼 다마신 배선의 리프팅 문제 등을 유발하여 듀얼 다마신 배선의 신뢰성이 떨어진다. 따라서, 듀얼 다마신 배선을 신뢰성 있게 제조할 수 있는 방법의 개발이 요구된다.
본 발명이 이루고자 하는 기술적 과제는 듀얼 다마신 배선을 신뢰성 있게 제조할 수 있는 미세 전자 소자의 듀얼 다마신 배선 제조 방법을 제공하고자 하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 신뢰성 있는 듀얼 다마신 배선을 포함하는 미세 전자 소자를 제공하고자 하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 실시예에 따른 미세 전자 소자의 듀얼 다마신 배선 제조 방법은 하부 배선이 형성된 기판을 제공하는 단계, 상기 기판 상에 층간 절연막을 형성하는 단계, 상기 층간 절연막내에 상기 하부 배선을 노출시키는 비아를 형성하는 단계, 상기 비아에 의해 노출된 상기 하부 배선 상에 비아 캡핑막을 형성하는 단계, 상기 비아를 충전재로 채우는 단계, 상기 비아를 매립한 상기 충전재와 상기 층간 절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계, 상기 비아에 잔류하는 상기 충전재를 제거하는 단계, 및 상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 다른 실시예에 따른 미세 전자 소자의 듀얼 다마신 배선 제조 방법은 하부 배선이 형성된 기판을 제공하는 단계, 상기 기판 상에 층간 절연막을 형성하는 단계, 상기 층간 절연막내에 상기 하부 배선을 노출시키는 비아를 형성하는 단계, 상기 비아에 의해 노출된 상기 하부 배선 상에 비아 캡핑막을 형성하는 단계, 상기 비아를 채우며 상기 층간절연막을 덮는 마스크용 충전재를 형성하는 단계, 상기 마스크용 충전재 상부에 하드마스크막을 형성하는 단계, 상기 하드마스크막상에 배선이 형성될 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 식각마스크로 하여 상기 하드마스크막을 식각하여 하드마스크로 패터닝하는 단계, 상기 포토레지스트 패턴과 상기 하드마스크를 식각마스크로 사용하여 상기 층간 절연막상의 상기 충전재를 트렌치 형성용 충전재 마스크로 패터닝하여 상기 하드마스크와 상기 트렌치 형성용 충전재 마스크로 이루어진 멀티 스택 마스크를 형성하는 단계, 상기 멀티 스택 마스크를 식각마스크로 하여 상기 층간절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계, 상기 트렌치 형성용 충전재 마스크 및 상기 비아 내에 잔류하는 상기 충전재를 제거하는 단계, 및 상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함한다.
상기 다른 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 듀얼 다마신 배선을 포함하는 미세 전자 소자는 기판 상에 형성된 하부 배선, 상기 하부 배선 상에 형성된 층간 절연막, 상기 층간 절연막 내에 형성되어 상기 하부 배선을 노출시키는 비아와 상기 층간 절연막 상부로부터 소정 깊이에 형성되어 상기 비아와 연결된 트렌치로 이루어진 듀얼 다마신 영역을 채우는 듀얼 다마신 배선, 및 상기 비아내에 상기 하부 배선과 상기 듀얼 다마신 배선 사이에 형성된 도전성 비아 캡핑막을 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다. 따라서, 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 발명의 실시예들에 따른 듀얼 다마신 배선 제조 방법이 적용되는 소자는 고집적회로 반도체 소자, 프로세서, MEM's(Micro Electro Mechanical) 소자, 광전자 (optoelectronic) 소자, 디스플레이 소자(display device) 등의 미세 전자 소자이다. 특히, 본 발명의 듀얼 다마신 배선 제조 방법은 고속 특성이 요구되는 CPU(CentralProcessor Unit), DSP(Digital Signal Processor), CPU 와 DSP의 조합, ASIC(Application Specific Integrated Circuit), 로직 소자, SRAM 등에 더욱 유용할 것이다.
이하 본 발명의 실시예들에서는 하부 배선을 노출시키는 개구부를 비아라 칭하고 배선이 형성될 영역을 트렌치라 칭한다. 또, 미스얼라인이 발생하더라도 비아의 크기가 그대로 유지될 수 있는 방법인 비아 선행(via first) 듀얼 다마신 배선 제조 방법을 예로 들어 듀얼 다마신 배선 제조 방법을 설명할 것이다.
이하 도 1 내지 도 11b를 참고하여 본 발명의 제1 실시예에 따른 듀얼 다마신 배선 제조 방법에 대해서 설명한다.
먼저, 도 1에 도시되어 있는 바와 같이 듀얼 다마신 배선 제조 방법이 적용될 기판(100)을 준비한다. 듀얼 다마신 배선 제조 방법이 적용될 기판(100) 위에는 하부 배선(110)을 구비하는 하부 층간절연막(105)이 형성되어 있다. 기판(100)으로는 실리콘 기판, SOI(Silicon On Insulator) 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등을 예로 들 수 있다. 기판(100) 상에는 다양한 종류의 능동 소자 및 수동 소자 등을 포함할 수 있다. 하부 배선(110)은 다양한 종류의 배선 물질, 예컨대, 구리, 구리 합금, 알루미 늄, 알루미늄 합금 등으로 이루어질 수 있다. 저저항 관점에서 하부 배선(110)은 구리로 형성되는 것이 바람직하다. 하부 배선(110)의 표면 또한 평탄화되어 있는 것이 바람직하다.
도 2를 참조하면, 하부 배선(110)이 형성되어 있는 기판(100) 전면에 확산 방지 및 식각 정지막(120), 층간 절연막(130), 캡핑막(140), 반사방지막(144)을 차례대로 형성한 후, 반사방지막(144) 위에 포토레지스트 패턴(145a)을 형성한다.
확산 방지 및 식각 정지막(120)은 하부 배선(110)을 구성하는 물질, 예컨대, 구리의 확산을 방지하고, 후속의 비아 형성을 위한 건식 식각 공정시 하부 배선(110)이 식각 공정에 노출되어 전기적 특성이 손상되는 것을 방지하기 위하여 형성한다. 따라서, 확산 방지 및 식각 정지막(120)은 그 위에 형성되는 층간절연막(130)에 대한 식각 선택비가 큰 물질로 형성할 수 있다. 확산 방지 및 식각 정지막(120)은 유전율이 3-8 인 SiC, SiN, SiCN, SiCO, SiCON 단일막 또는 이들의 적층막으로 형성할 수 있다. 확산 방지 및 식각 정지막(120)의 두께는 전체 층간 절연막의 유전율에 미치는 영향을 고려하여 가능한 최소화하되 식각 정지막(120)으로서의 기능을 수행하기에 충분한 두께로 형성한다. 예컨대, SiCN 단일막으로 확산 방지 및 식각 정지막(120)을 형성할 경우 적어도 350Å이상으로 형성해야 한다.
층간 절연막(130)은 열적 안정성도 우수하고 유전율도 낮은 저유전율 물질로 형성한다. 하부 배선(110)과 형성하고자 하는 듀얼 다마신 배선 간의 RC 신호 지연을 방지하고 상호 간섭 및 전력 소비의 증가를 억제하기 위해서 층간 절연막(130)은 저유전율 물질로 형성하는 것이 바람직하다. 층간 절연막(130)은 내부에 비아 및 트렌치를 형성하기에 충분한 두께를 가지며, 저유전률(Low-k)을 갖는 유기폴리머, 또는 무기물로 형성할 수 있다.
저유전률을 갖는 유기폴리머로는 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌(polypentafluorostylene), 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌(polynaphthalene fluride), 폴리사이드(polycide) 수지 등이 사용될 수 있다.
무기물로는 USG(Undoped Silicate Glass), TEOS(TetraEthylOrthoSilicate), FSG(Fluorine-doped Silicate Glass), OSG(OrganoSilicateGlass) (SiOC(SiOC:H)), HSQ(HydrogenSilsesQuioxane), MSQ(Methyl SilsesQuioxane) 등이 사용될 수 있다.
층간 절연막(130)의 종류는 층간 절연막(130) 내에 형성될 듀얼 다마신 배선의 피치와 이에 따른 RC 특성에 따라 결정될 수 있다.
피치가 큰 경우에는 USG, TEOS 등이 사용되고, 피치가 작은 경우에는 보다 저유전율인 FSG, OSG 등이 사용될 수 있다.
특히, 고속 동작을 요하며 배선 피치가 작은 듀얼 다마신 배선의 경우에는 유전율이 3.3 이하이고 유기물의 저유전율 특성과 기존의 장비 및 공정을 그대로 사용할 수 있으며 열적 안정성이 뛰어난 무기물의 특성을 모두 가진 하이브리드형 저유전율 물질인 OSG가 층간절연막 물질로 효과적이다. OSG막의 경우에는 탄소의 함량이 많으면 많을수록 유전율은 감소하나 열적 기계적 특성이 저하된다. 그런데, CVD로 OSG막을 형성하면 OSG 막내의 탄소의 함량을 조절함으로써 OSG 막의 유전율 과 열적 기계적 특성이 모두 만족스럽도록 조절할 수 있다. 따라서, CVD법에 의해 형성된 OSG 막이 층간 절연막(130)으로 효과적으로 적용될 수 있다. CVD 법에 의해 OSG 막을 형성할 때 사용하는 소오스 가스(탄소, 실리콘, 산소 소오스 가스 등), CVD 챔버, 공정 조건(온도, 시간, 압력) 등은 당업자에게 공지된 기술을 사용하여 다양하게 변형하여 실시할 수 있음은 물론이다.
또, OSG 이외의 다른 물질을 PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD), APCVD(Atmospheric Pressure CVD), 스핀코팅(spin coating) 방식 등을 사용하여 층간 절연막(130)을 형성할 수도 있다.
층간 절연막(130)은 3000 내지 20000Å 두께로, 바람직하기로는 6000 내지 7000Å 두께로 형성한다. 그러나, 층간 절연막(130)의 두께는 당업자에 의해 다양하게 변형가능함은 물론이다.
캡핑막(140)은 듀얼 다마신 배선 형성시 플라즈마로 인한 층간 절연막(130)의 손상을 방지하고, 듀얼 다마신 배선의 평탄화를 위한 CMP시 CMP가 안정적으로 층간 절연막(130) 손상없이 진행될 수 있도록 하기 위하여 형성한다. 따라서 SiO2, SiOF, SiON, SiC, SiN, SiCN 단일막 또는 이들의 적층막으로 형성할 수 있다. 더하여 캡핑막(140)은 후속 트렌치 형성을 위한 사진식각 공정에서 반사방지기능도 할 수 있는 물질로 형성하는 것이 후속의 반사방지막(144) 형성을 생략할 수 있으므로 공정 단순화측면에서 효과적이다. 따라서, 캡핑막(140)은 상기 열거한 물질들 중에서도 SiO2, SiON, SiC, SiCN 등이 효과적으로 사용될 수 있다. 그러나, CMP 공정의 조절만으로도 층간 절연막(130)의 손상을 방지할 수 있고, 후속 공정에서 반사방지기능을 가진 물질층이 형성된다면 캡핑막(140)의 형성은 선택적으로 생략할 수도 있다.
반사방지막(144)은 무기 반사방지막 또는 유기 반사방지막 모두 사용가능하나 유기 반사방지막인 것이 제거의 용이성 관점에서 유리하다. 반사방지막(144)은 당업자에게 공지된 248nm, 193nm 또는 그 이하 파장의 노광원을 흡수할 수 있는 반사방지물질로 형성될 수 있다. 반사방지막(144)은 300 내지 2000Å 두께로 형성한다. 캡핑막(140)이 반사 방지 기능을 가지는 물질로 형성될 경우에는 반사방지막(144)의 형성을 생략할 수 있다.
포토레지스트 패턴(145a)은 248nm 이하의 광원에 적합한 포토레지스트를 도포한 후, 비아를 정의하는 포토마스크를 사용한 노광 및 현상을 거쳐 형성한다.
도 3를 참조하면, 포토레지스트 패턴(145a)을 식각마스크로 사용하여 반사방지막(144), 캡핑막(140), 층간 절연막(130) 및 확산 방지 식각 정지막(120)을 차례대로 건식 식각(147)하여 비아(150)를 형성한다.
반사방지막(144), 캡핑막(140), 층간 절연막(130)의 식각은 CxFy 또는 CxHyFz 등의 주 식각 가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 반응성 이온 식각(RIE)으로 진행한다.
층간 절연막(130)의 식각에 의해 확산 방지 및 식각 정지막(120)의 상면이 노출되면, 층간 절연막(130)을 식각하기 위한 반응성 이온 식각시 식각 장치에 인가되는 파워(Power)보다 더 큰 파워를 인가하여 식각의 직진성이 향상된 에치백 공정으로 확산 방지 및 식각 정지막(120)을 식각하여 하부 배선(110)의 상면이 노출되도록 하여 비아(150)를 완성한다. 확산 방지 및 식각 정지막(120)의 에치백 가스는 층간 절연막(130)의 식각 가스와 동일 또는 유사한 가스를 사용할 수 있다.
도 4를 참조하면, 포토레지스트 패턴(145a)과 반사방지막(144)을 제거한다. 포토레지스트 패턴(145a)과 반사방지막(144)은 O2 플라즈마 애슁 공정 또는 수소계(H2 based) 플라즈마 애슁 공정 후, 유기 스트리퍼를 사용하는 스트리핑 공정으로 제거할 수 있다. 수소계 플라즈마는 H2, H2/Ar, N2/H2, NH 3/H2, He/H2 또는 이들의 혼합 가스로부터 얻어진 플라즈마를 칭한다. 층간절연막(130)이 탄소를 포함하는 OSG인 경우에는 O2 애슁(ashing)에 의해 포토레지스트 패턴(145a)을 제거하면, 탄소를 포함하여 유기물의 성질을 가지고 있는 층간 절연막(130)이 O2 플라즈마에 의해 손상될수 있으므로 수소계 플라즈마를 사용하는 것이 바람직하다. O2 플라즈마를 사용할 경우에는 비아(150) 벽면의 손상을 방지하기 위해 저압 공정을 사용하는 것이 바람직하며 O2/COx, O2/Ar 혹은 이들의 혼합가스를 사용한다.
유기 스트리퍼로는 히드록시아민(hydroxylamine) 및 모노에탄올아민(monoethanolamine)과 같은 염기성 아민(basic amine) 환원제, 극성 용매(polar solvent) 및 카테콜 (catechol) 등과 같은 유기산을 포함하는 유기 조성물등이 사 용될 수 있으나, 이는 예시적인 것이며 다양한 종류의 유기 스트리퍼가 사용될 수 있음은 물론이다.
도 5를 참조하면, 비아에 의해 노출된 하부 배선(110) 상에 비아 캡핑막(155)을 형성한다. 비아 캡핑막(155)은 비아(150) 형성시 노출된 하부 배선(110)이 후속의 애슁, 충전재 제거를 위한 플라즈마 처리 또는 습식 식각시 손상되거나 산화되어 산화 잔류물(예., CuOx)이 층간 절연막(110)을 오염시켜 최종적으로 형성되는 듀얼 다마신 배선이 리프팅되는 것을 차단하기 위하여 형성한다.
비아 캡핑막(155)은 비아(150)에 의해 노출된 하부 배선(110) 상에만 선택적으로 형성한 선택적 비아 캡핑막(155)일 수 있다. 따라서, 비아 캡핑막(155)은 선택적 화학 기상 증착법, 무전해 도금법, 또는 선택적 원자층 증착법에 의해 형성하는 것이 하부 배선(110) 상에만 선택적으로 형성할 수 있다. 비아 캡핑막(155)은 최종 완성된 듀얼 다마신 배선 내에 잔존하더라도 배선 저항 증가율이 저항 마진 범위(예., 증가율 50% 이내)내가 될 수 있도록 하는 물질로 형성할 수 있다.
따라서, 비아 캡핑막(155)은 금속막, 금속 질화막, 금속 산화막, 또는 이들의 적층막으로 형성될 수 있다. 예를 들면, 비아 캡핑막(155)은 W, Ta, Ti, CoWP, CoSnP, CoP, CoB, CoSnB, Pd, In, NiB, WNx, TaNx, TiNx, Ta2O5 또는 TiO2W Ta, Ti, WNx, 등은 선택적 화학 기상 증착법으로 형성할 수 있다. CoWP, CoSnP, CoP, CoB, CoSnB, Pd, In, NiB 등은 무전해 도금법으로 형성할 수 있다. TaNx, TiNx 등은 선택적 원자층 증착법으로 형성할 수 있다. 이들 금속을 무전해 도금법으로 형성하는 방법은 미국 공개 특허 2002-123220호, "An Electrochemical Study of Electroless Co-W-P Alloy Deposition" 1997, IEEE, Vol III, pp 192-200 등에 개시되어 있으며, 이들은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다. 비아 캡핑막(155)은 50 내지 500 Å두께로 형성할 수 있다.
도 6을 참조하면, 비아(150)를 비아 충전재(162)로 충전한다. 비아 충전재(162)는 비아를 용이하게 채울수 있으면서 트렌치 패터닝 후 용이하게 제거 가능한 물질로 형성한다. 따라서, 비아 충전재(162)는 갭 충전 능력이 우수한 물질로 형성될 수 있다. 예컨대, 갭 충전(gap fill) 능력이 우수한 유기물 또는 무기물이 사용될 수 있다. 또, 비아 충전재(162)는 트렌치 패터닝을 위한 건식 식각 공정시 층간 절연막(130)과 건식 식각율이 실질적으로 동일하거나 비아 충전재(162): 층간 절연막(130)의 건식 식각비가 10:1 이하가 되도록 할 수 있는 물질이 사용될 수 있다. 또, 비아 충전재(162)는 트렌치 패터닝 후에 잔류하는 충전재(162) 제거시 층간 절연막(130)에 비해 매우 빠른 습식 식각 속도를 가지거나 플라즈마로 선택적으로 제거될 수 있는 물질이 사용될 수 있다. 예컨대 비아 충전재(162): 층간 절연막(130)의 습식 식각비가 20:1 이상이 되도록 할 수 있는 물질이 사용될 수 있다.
따라서, 비아 충전재(162)로 사용될 수 있는 유기물은 SOP(Spin On Polymer)일 수 있다. 구체적으로, 폴리 알릴렌 에테르계 물질(poly arylene ether based material), 폴리메타메틸아크릴레이트계(polymetamethylacrylate based material), 비닐에테르메타아크릴레이트(vinylether metacrylate based material) 등을 예로 들 수 있다.
비아 충전재(162)로 사용될 수 있는 무기물은 HSQ계 물질(HSQ based material), MSQ계 물질(MSQ based material)일 수 있다.
본 명세서에서 "계" 라고하는 것은 순수 그 물질과 순수 그 물질에 반사 방지 물질, 용해 억제제, 염기성 물질 확산 방지 물질이 첨가되거나 이들이 치환되어 반사 방지 기능, 용해 억제 기능, 염기성 물질 확산 방지 기능을 가지는 물질들을 모두 통칭하는 것이다.
반사 방지 물질은 사진 공정시 사용되는 노광원을 흡수할 수 있는 성질을 가진 물질로 당업자에게 공지된 다양한 물질이 사용될 수 있다.
용해 억제제는 포토레지스트막의 현상액에 대한 용해를 억제할 수 있는 물질로 당업자에게 공지된 물질이 사용될 수 있다.
염기성 물질 확산 방지 물질은 비아를 형성하기 위한 사진 식각 공정시 층간 절연막(130) 내에 잔류하게 된 질소 또는 아민 등의 염기성 물질이 비아 충전재를 통해 확산되어 트렌치를 패터닝하기 위하여 형성한 포토레지스트막으로 전달되어 노광된 포토레지스트막내에 발생한 산(H+)과 반응하여 포토레지스트 패턴이 제대로 형성되지 못하도록 하는 포토레지스트 손상을 방지할 수 있는 물질이 사용될 수 있다. 염기성 물질 확산 방지 물질의 일 예가 본 출원과 공동 양도된 대한민국특허출원 제 2004-0061163호에 개시되어 있으며, 상기 출원은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다.
비아 충전재(162)는 비아(150)만을 채우도록 형성할 수도 있고 캡핑막(140) 위에 소정 두께로 형성되도록 형성할 수도 있으나 후자의 경우가 공정 조절 마진 측면에서 보다 바람직하다. 바람직하기로는 비아(150) 밀도가 낮은 영역에 형성되는 비아 충전재(162)의 높이와 비아(150) 밀도가 높은 영역에 형성되는 비아 충전재(162)의 높이의 차이가 2000Å 이하가 되도록 하는 것이 촛점 심도 마진 확보 측면에서 바람직하다. 상술한 비아 충전재를 구성하는 물질은 코팅 조건(recipe), 비아(150)들 사이의 간격, 비아(150) 임계 치수 및 높이 등의 변수를 고려하여 그 두께를 쉽게 조절할 수 있으며 상기 제한 조건을 용이하게 맞출 수 있다.
도 7을 참조하면, 비아 충전재(162) 상에 반사방지막(184)과 포토레지스트막(185)을 차례대로 형성한다. 반사방지막(184)은 앞에서 설명한 바와 마찬가지의 물질을 사용하여 형성한다. 선택적으로 반사 방지막(184) 형성 전에 비아 충전재(162)의 표면을 플라즈마 처리할 수 있다. 플라즈마 처리는 O2, H2, He, NH3 , N2, Ar, 또는 이들의 혼합 가스의 플라즈마를 사용하여 상온 내지 500℃의 온도에서 1초 내지 120초간 진행한다. 비아 충전재(162) 내에 질소가 잔류하지 않도록 하기 위해서 O2, H2, He, Ar 또는 이들의 혼합 가스의 플라즈마를 사용하는 것이 더욱 바람직할 수 있다. 플라즈마 처리에 의해 비아 충전재(162)의 표면이 치밀화(densify)된다. 플라즈마 처리 목적 중의 하나는 비아 충전재(162)가 포토레지스트 현상액에 용해되는 것을 방지하기 위한 것이다. 따라서, 비아 충전재(162)가 용해 억제제를 포함할 경우에는 플라즈마 처리를 생략할 수도 있다.
트렌치를 정의하는 마스크(200)를 사용하여 포토레지스트막(185)을 노광한 다. 마스크(200)의 투광영역(201)을 통과한 248nm, 193nm 또는 그 이하 파장의 노광원이 포토레지스트막(185)에 조사되면 포토레지스트막(185)의 노광부(185b)에 포함되어 있는 광산발생제로부터 산(H+)이 발생한다. 이 때, 노광부(185b) 하부의 반사방지막(184)이 포토레지스트막(185b)을 투과한 빛이 다시 포토레지스트막(185)으로 반사되는 것을 방지한다. 따라서, 비아 충전재(162)가 반사 방지 물질을 포함하여 반사 방지 기능을 수행할 수 있는 경우에는 반사방지막(184)의 형성을 생략할 수 있다.
노광부(185b) 내에 발생한 산(H+)에 의해 노광부(185b)를 구성하는 포토레지스트막이 현상액에 용해가능한 물질로 가수분해된다. 노광후 베이크에 의해 산가수분해가 더 활발해진다. 비아 충전재(162)에 질소, 아민등의 염기성 물질 확산 방지 물질이 첨가 또는 치환되어 있는 경우, 비아(150) 식각시 사용한 질소계 식각 가스 또는 비아(150)를 정의한 포토레지스트 패턴(도 3의 145a)을 제거하기 위한 질소를 포함하는 플라즈마 처리에 의해 층간 절연막에 잔류하게 된 질소 또는 아민등의 염기성 물질(N:)이 비아 충전재(162)를 따라 확산되어 노광부(185b)에 발생한 산을 중화시켜 포토레지스트 결함을 발생시키는 기작이 방지될 수 있다.
도 8을 참조하면, 포토레지스트 패턴(185a)을 형성한다. 노광후 베이크된 포토레지스트막(185)을 테트라메틸 암모늄 하이드록사이드 현상액에 담그면 노광부(185b)만 현상액에 용해되어 제거되므로 도 8에 도시되어 있는 바와 같은 포토레지스트 패턴(185a)이 형성된다. 이 때, 노광부(185b) 하부에 반사방지막(184)이 있으므로 비아 충전재(162)가 현상액에 노출되지 않는다. 비아 충전재(162)가 플라즈마 처리된 경우에는 반사방지막(184)이 형성되어 있지 않더라도 현상액에 의해 비아 충전재(162)가 손상되지 않는다. 또, 비아 충전재(162)가 용해 억제제를 포함하는 경우에는 반사방지막(184)을 형성하지 않더라도 현상액에 의해 비아 충전재(160)가 손상되지 않는다.
도 9는 트렌치(190)를 형성하는 단계를 나타낸다. 포토레지스트 패턴(185a)을 식각마스크로 사용하여 반사방지막(184), 비아 충전재(162), 캡핑막(140)을 차례대로 식각한 후, 층간절연막(130) 및 비아 충전재(162)를 소정 깊이로 식각하여 트렌치(190)를 형성한다. 트렌치(190) 식각은 건식 식각(227)으로 진행하되 층간절연막(130) 및 비아 충전재(162)의 식각율이 실질적으로 동일하거나 비아 충전재(162): 층간 절연막(130)의 식각비가 10:1 이하가 될 수 있는 조건으로 진행한다. 따라서, 비아 충전재(162)와 층간 절연막(130)의 식각율 차이로 인하여 비아 충전재(162) 측벽에 층간절연막(130)이 식각되지 않고 잔류하는 펜스와 같은 결함이 발생하지 않고, 비아 충전재(162)가 여전히 비아(150) 내에 일부 잔류하므로 비아 캡핑막(155)이 식각에 노출되어 하부 배선(110)이 손상되는 것을 방지할 수 있다. 층간절연막(130)을 OSG로 비아 충전재(162)를 HSQ계 물질 또는 MSQ계 물질로 형성한 경우 두 물질 모두 무기물의 성격을 지니고 있으므로, CxFy 또는 CxHyFz 등의 주 식각가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 RIE(227)로 진행하면 상기 조건을 충족시킬 수 있다.
도 10은 포토레지스트 패턴(185a)과 잔류하는 충전재(162)를 제거하는 단계를 나타낸다. 트렌치(190) 식각이 완료된 후, 포토레지스트 패턴(185a)을 H2, N2/H2, NH3/H2, He/H2 또는 이들의 혼합 가스로부터 얻어진 수소계 플라즈마를 사용하는 애슁(ashing) 공정으로 제거한다.
이어서, 잔류하는 비아 충전재(162)를 제거한다. 비아 충전재(162)의 제거는 비아 충전재(162)가 유기물이냐 무기물이냐에 따라서 달라질 수 있다.
층간 절연막(130)이 무기물이고 생성된 비아 충전재(162)가 유기물인 경우에는 유기물을 선택적으로 제거할 수 있는 O2 플라즈마 애슁 공정 또는 수소계(H2 based) 플라즈마 애슁 공정으로 비아 충전재(162)를 선택적으로 제거할 수 있다.
층간 절연막(130)이 무기물이고 비아 충전재(162)가 유기물인 경우에는 습식 식각 공정을 적용할 수도 있다. 예를 들면, 무기물인 층간 절연막(130)은 거의 식각되지 않고 유기물인 비아 충전재(162)만 선택적으로 식각할 수 있는 조건으로, 적어도 비아 충전재(162): 층간절연막(130)의 습식 식각비가 20:1 이상이 될 수 있는 조건으로 습식 식각 공정을 진행하여 제거할 수도 있다.
층간 절연막(130)이 유기물 또는 유기물 특성을 가지고 비아 충전재(162)의 매트릭스가 무기물인 경우에는 무기물 제거에 선택비가 큰 식각액을 사용하는 습식 식각 공정을 진행하여 제거할 수 있다. 예를 들면, 비아 충전재(162)가 HSQ계 물질로, 층간 절연막(130)이 OSG로, 식각 정지막(120)이 SiC(N)으로 형성된 경우, 100:1 이상으로 탈이온수에 희석된 HF 용액, NH4F, HF와 탈이온수의 혼합액인 BOE(Buffered Oxide Etchant) 또는 디메틸아세틸아미드를 포함하는 유기 용액에 담그는 방식으로 습식 식각을 진행하면 HSQ계 물질:OSG:SiC(N) 식각비를 100:1:1 보다 높게 할 수 있다.
앞에서 설명한 트렌치 식각을 위한 포토레지스트 패턴(185a)을 형성하기 위한 노광전후의 베이킹, 포토레지스트 패턴(185a) 을 이용한 트렌치 식각, 비아 충전재(162)의 제거를 위한 플라즈마 또는 유기 용액 처리시 비아 캡핑막(155)이 하부 배선(110)의 상면을 보호하고 있기 때문에 하부 배선(110)의 손상 및 산화등을 효과적으로 방지할 수 있다.
도 11a 및 도 11b는 듀얼 다마신 배선(230)을 완성하는 단계를 나타낸다.
도 11a는 비아 캡핑막(155)을 잔존시킨채로 듀얼 다마신 배선(230)을 형성한 경우를 도 11b는 비아 캡핑막(155)을 제거한 후 듀얼 다마신 배선(230)을 형성한 경우를 각각 나타낸다.
비아 캡핑막(155)이 듀얼 다마신 배선의 저항 증가를 유발하지 않거나 저항 증가를 유발한다 하여도 저항 마진 범위, 예컨대 타겟 저항의 50% 범위 이내의 증가를 유발한다면, 도 11a에 도시되어 있는 바와 같이, 비아 캡핑막(155)을 잔존시킨채 듀얼 다마신 배선(230)을 형성하는 것이 공정 단순화 측면에서 유리하다. 또, 비아 캡핑막(155) 제거시 발생할 수 있는 하부 배선(110)의 손상등을 최소화할 수도 있다. 이 경우에는 하부 배선(110)의 대부분의 상면은 확산 방지 및 식각 정지막(120a)이 덮고 비아(150)에 의해 노출된 하부 배선(110)의 상면에만 비아 캡핑막(155)이 형성된 구조를 하게 된다.
물론 저항이 중요한 변수가 되는 경우에는 도 11b와 같이 비아 캡핑막(155)을 제거한 후, 듀얼 다마신 배선(230)을 형성할 수도 있다. 비아 캡핑막(155)의 제거는 하부 배선(110)에 영향을 미치지 않고 비아 캡핑막(155)만 선택적으로 제거할 수 있는 식각 조건으로 실시한다.
비아(150)와 트렌치(190)로 이루어진 듀얼 다마신 영역(195)에 도전막을 형성한 후, 평탄화하여 듀얼 다마신 배선(230)을 완성한다. 따라서, 듀얼 다마신 배선(230)의 상면과 캡핑막(140)의 상면은 실질적으로 평행하게 된다. 도전막은 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군에서 선택된 적어도 어느 하나로 구성될 수 있다. 그리고, 도전막을 형성하는 방법은 스퍼터링(Sputtering)법으로 형성한 후 리플로우(reflow)하는 방법, CVD(Chemical Vapor Deposition)법으로 형성하는 방법, 전기도금법(Electroplating) 중에서 선택된 어느 하나의 방법으로 형성할 수 있다. 전기도금법을 이용하는 경우에는 전해 시에 전류를 흘리기 위하여 시드층(seed layer)을 형성할 필요가 있다.
도전막의 형성 전에 장벽금속막(미도시)을 형성할 수 있다. 특히 도전막으로 구리(Cu)를 사용하고자 할 경우에는 장벽금속막(미도시)은 구리의 확산에 의하여 층간 절연막(130)의 절연특성 열화 등을 방지하기 위하여 필수적으로 사용될 수 있다. 장벽금속막(미도시)의 재료로는 Ta, TaN, TiN, WN, TaC, WC, TiSiN, TaSiN 중에서 선택된 적어도 하나를 사용할 수 있으며, 형성 방법으로는 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition) 중에서 선택된 어느 하나의 방법을 사용할 수 있다.
상기 도 1 내지 도 11b을 참조하여 설명한 비아 선행 듀얼 다마신 배선 제조 방법을 트렌치 선행 듀얼 다마신 배선 제조 방법에도 적용할 수 있음은 물론이다.
도 12 내지 도 20b를 참조하여, 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법에 대해서 설명한다. 본 발명의 제2 실시예에 따른 듀얼 다마신 배선 제조 방법은 멀티 스택 마스크를 사용하여 트렌치를 형성하는 방법에 관한 것이다.
먼저, 도 12를 참조하면, 비아(150)를 형성하고, 비아 캡핑막(155)을 형성하는 단계까지는 제1 실시예에서 설명한 바와 동일한 방법으로 진행한다. 제1 실시예에서 설명한 바와 같이, 비아 캡핑막(155)은 비아(150) 형성시 노출된 하부 배선(110)이 후속의 애슁, 충전재 제거를 위한 플라즈마 처리 또는 습식 식각시 손상되거나 산화되어 산화 잔류물(예., CuOx)이 층간 절연막(110)을 오염시켜 최종적으로 형성되는 듀얼 다마신 배선이 리프팅되는 것을 차단하기 위하여 형성한다.
비아 캡핑막(155)은 비아(150)에 의해 노출된 하부 배선(110) 상에만 선택적으로 형성한 선택적 비아 캡핑막(155)일 수 있다. 따라서, 비아 캡핑막(155)은 선택적 화학 기상 증착법, 무전해 도금법, 또는 선택적 원자층 증착법에 의해 형성하는 것이 하부 배선(110) 상에만 선택적으로 형성할 수 있다. 비아 캡핑막(155)은 최종 완성된 듀얼 다마신 배선 내에 잔존하더라도 배선 저항 증가율이 저항 마진 범위(예., 증가율 50% 이내)내가 될 수 있도록 하는 물질로 형성할 수 있다.
따라서, 비아 캡핑막(155)은 금속막, 금속 질화막, 금속 산화막, 또는 이들 의 적층막으로 형성될 수 있다. 예를 들면, 비아 캡핑막(155)은 W, Ta, Ti, CoWP, CoSnP, CoP, CoB, CoSnB, Pd, In, NiB, WNx, TaNx, TiNx, Ta2O5 또는 TiO2 일 수 있다. W, Ta, Ti, WNx, 등은 선택적 화학 기상 증착법으로 형성할 수 있다. CoWP, CoSnP, CoP, CoB, CoSnB, Pd, In, NiB 등은 무전해 도금법으로 형성할 수 있다. TaNx, TiNx 등은 선택적 원자층 증착법으로 형성할 수 있다. 이들 금속을 무전해 도금법으로 형성하는 방법은 미국 공개 특허 2002-123220호, "An Electrochemical Study of Electroless Co-W-P Alloy Deposition" 1997, IEEE, Vol III, pp 192-200 등에 개시되어 있으며, 이들은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다. 비아 캡핑막(155)은 50 내지 500 Å 두께로 형성할 수 있다.
이어서, 비아(150)를 마스크용 비아 충전재(262)로 채운다. 마스크용 비아 충전재(262)는 트렌치 식각 및 세정 공정시 비아 캡핑막(155)이 손상되어 하부 배선(110)이 노출되어 전기적 특성이 불량해지는 것을 방지하기 위한 기능과 함께 트렌치 식각시의 식각 마스크로서의 기능을 동시에 수행하기 위해 형성한다. 130nmm 나아가 45㎚ 이하의 디자인 룰로 미세 선폭의 듀얼 다마신 배선을 형성하기 위해서는 심자외선(Deep UV), 즉 248nm 이하의 노광광에 대해 투명한 포토레지스트막을 형성하여야 한다. 그러나, 심자외선용 포토레지스트막은 건식 식각 내성이 약하여 트렌치 형성을 위한 건식 식각시 포토레지스트 패턴이 제거되어 식각 공정 불량을 유발하는 경향이 있다. 따라서, 단일 포토레지스트 패턴 대신 멀티 스택형 마스크를 형성하기 위하여 마스크용 비아 충전재(262)를 형성한다.
그러므로, 마스크용 비아 충전재(262)는 비아(150)를 용이하게 채울 수 있으면서, 트렌치 정의를 위한 포토레지스트막의 노광시 노광되지 않고, 트렌치 식각을 위한 건식 식각에 대한 내성을 가지고, 트렌치 패터닝 후 용이하게 제거 가능한 물질로 형성한다. 따라서, 비아 충전재(262)는 갭 충전 능력이 우수하면서 사진 식각 공정시 사용되는 248nm, 193nm 또는 그 이하 파장을 가지는 노광광에 대해 불투명하고 건식 식각 내성이 물질로 형성될 수 있다.
비아 충전재(262)는 제1 실시예에서 설명한 바와 같은 유기물과 무기물이 모두 사용가능하다. 그런데, 멀티 스택 마스크 공정의 최적화 관점에서는 스핀-온 폴리머(SOP)를 사용하는 것이 보다 적합하다. 스핀-온 폴리머의 예로는 i-라인용 포토레지스트인 노볼락계 물질(novolak based material), 폴리 알릴렌 에테르계 물질(poly arylene ether based material), 폴리메타메틸아크릴레이트계(polymetamethylacrylate based material), 비닐에테르메타아크릴레이트(vinylether metacrylate based material) 등을 예로 들 수 있다.
제1 실시예에서 설명했던 바와 마찬가지로 "계" 라고하는 것은 순수 그 물질과 순수 그 물질에 반사 방지 물질, 용해 억제제, 염기성 물질 확산 방지 물질이 첨가되거나 이들이 치환되어 반사 방지 기능, 용해 억제 기능, 또는 염기성 물질 확산 방지 기능을 가지는 물질을 모두 포괄하기 위한 것이다. 반사 방지 물질, 용해 억제제, 염기성 물질 확산 방지 물질 또한 제1 실시예에서 설명했던 물질들이 사용될 수 있다.
마스크용 비아 충전재(262)는 층간절연막(130)과 캡핑막(140) 상에 소정 높 이로 형성될 수 있다. 예컨대, 1000~10000 Å 두께로 형성할 수 있다.
도 13을 참조하면, 마스크용 비아 충전재(262) 상에 하드 마스크막(282)을 형성한다. 이때 하드 마스크막(282)은 포토레지스트 패턴(도 16의 285a 참고)을 마스크용 비아 충전재(262)에 전달하기 위하여 형성한다. 일반적으로 248nm 이하의 노광원에 적합한 포토레지스트 패턴(285a)은 식각 내성이 작다는 문제점이 있는데 이러한 문제를 해결하기 위해 마스크용 비아 충전재(262)와 하드 마스크막(282)을 적용한다. 실제로 건식 식각 내성이 작은 포토레지스트 패턴(285a)은 식각 부담이 작은 하드 마스크막(282)만을 식각하여 하드 마스크(도 16의 282a 참고)로 형성한다. 이어서, 하드 마스크(도 16의 282a 참고)를 이용하여 마스크용 비아 충전재(262)를 순차적으로 식각하여 마스크용 비아 충전재(262)를 포토레지스트 패턴과 동일하게 패터닝을 하여 트렌치 형성을 위한 마스크(도 17의 262a)로서 기능하도록 한다. 하드 마스크막(282)은 마스크용 비아 충전재(262)와 건식 식각 선택비를 가지는 물질로서 실리콘 화합물이나 메탈 화합물을 사용할 수 있으며 SiO2, SiN, SiON, SiC, SiCN, SiOC, SiOCN, BCB(BenzoCycloButene), Ta, TaN, Ti, TiN, Al2O3, BN, HSQ 단일막 또는 이들의 적층막으로 형성할 수 있다. 또, 마스크용 비아 충전재(262)와 마찬가지로, 하드 마스크막(282)은 반사방지물질, 용해 억제 물질, 염기성 물질 확산 방지 물질이 첨가 또는 치환된 물질로 형성될 수 있다. 예컨대 염기성 물질 확산 방지 물질이 첨가 또는 치환된 HSQ계 물질로 형성될 수도 있다. 염기성 물질 확산 장벽 특성을 가진 물질로 하드 마스크막(282)을 형성하는 자세한 방 법에 대해서는 본 출원과 공동 양도된 대한민국특허출원 제 2004-0061163 호에 개시되어 있으며, 상기 출원은 본 명세서에 충분히 개시된 것처럼 원용되어 통합된다.
이후, 도면에는 도시하지 않았으나, 선택적으로 하드 마스크막(282)의 표면을 플라즈마 처리하는 단계를 더 실시할 수 있다. 플라즈마 처리는 O2, H2, He, NH3, N2, Ar, 또는 이들의 혼합 가스의 플라즈마를 사용하여 상온 내지 500℃의 온도에서 1초 내지 120초간 진행한다. 하드 마스크막(282) 내에 질소가 잔류하지 않도록 하기 위해서 O2, H2, He, Ar 또는 이들의 혼합 가스의 플라즈마를 사용하는 것이 더욱 바람직할 수 있다. 플라즈마 처리에 의해 하드 마스크막(282)의 표면이 치밀화된다. 플라즈마 처리 목적 중의 하나는 하드 마스크막(282)이 포토레지스트 현상액에 용해되는 것을 방지하기 위한 것이다. 따라서, 하드 마스크막(282)이 용해 억제제를 포함할 경우에는 플라즈마 처리를 생략할 수도 있다.
도 14를 참조하면, 트렌치 형성을 위한 사진 공정을 실시한다. 먼저, 하드마스크막(282) 상에 반사방지막(284)을 형성한다. 반사방지막(284)은 제1 실시예에서 설명한 바와 같은 물질을 사용하여 형성한다. 하드 마스크막(282)이 반사 방지 기능을 가지는 물질로 형성될 경우에는 반사방지막(284)의 형성을 생략할 수 있다.
계속해서, 반사방지막(284) 상부에 248nm 이하 파장을 가지는 노광광(302)에 대해 투명한 포토레지스트막(285)을 형성한 후, 트렌치를 정의하는 마스크(300)를 사용하여 포토레지스트막(285)을 노광한다. 마스크(300)의 투광영역(301)을 통과한 노광광(302)이 포토레지스트막(285)에 조사되면 포토레지스트막(285)의 노광부(285b)에 포함되어 있는 광산발생제로부터 산(H+)이 발생한다. 이 때, 노광부(285b) 하부의 반사 방지막(284)이 포토레지스트막(185b)을 투과한 빛이 다시 포토레지스트막(285)으로 반사되는 것을 방지한다. 따라서, 하드 마스크막(282)이 반사 방지 기능을 가지는 경우에는 반사 방지막(284)의 생성을 생략할 수도 있다. 노광부(285b) 내에 발생한 산(H+)에 의해 노광부(285b)를 구성하는 포토레지스트막이 현상액에 용해가능한 물질로 가수분해된다. 노광후 베이크에 의해 산가수분해가 더 활발해진다.
하드 마스크막(282) 및/또는 마스크용 비아 충전재(262)가 염기성 물질 확산 방지 기능을 가지는 경우에는 비아(150) 식각시 사용한 질소계 식각 가스 또는 비아(150)를 정의한 포토레지스트 패턴을 제거하기 위한 질소를 포함하는 플라즈마 처리에 의해 층간 절연막에 잔류하게 된 질소, 아민등의 염기성 물질(N:)이 비아 충전재(262)를 따라 확산(점선)되어 노광부(285b)에 도달하는 것을 효과적으로 차단한다. 그러므로, 아웃개싱된 염기성 물질에 의해 노광부(285b)에 발생한 산이 중화되어 포토레지스트 결함이 발생되는 기작이 방지된다.
도 15를 참조하면, 포토레지스트 패턴(285a)을 형성한다. 노광후 베이크된 포토레지스트막(285)을 테트라메틸 암모늄 하이드록사이드 현상액에 담그면 노광부(285b)만 현상액에 용해되어 제거되므로 도 15에 도시되어 있는 바와 같은 포토레지스트 패턴(285a)이 형성된다. 이 때, 반사방지막(284)이 있으므로 하드 마스크막(282)이 현상액에 노출되지 않는다. 하드 마스크막(282)이 플라즈마 처리된 경우에 는 반사방지막(284)이 형성되어 있지 않더라도 현상액에 의해 손상되지 않는다. 또, 하드 마스크막(282)이 용해 억제제를 포함하는 경우에도 반사방지막(284)을 형성하지 않더라도 현상액에 의해 하드 마스크막(282)이 손상되지 않는다.
도 16을 참조하면, 포토레지스트 패턴(285a)을 식각마스크로 사용하여 건식 식각(307)을 진행하여 포토레지스트 패턴(285a) 이미지가 전사된 반사방지막 패턴(284a)과 하드 마스크(282a)를 형성한다. 건식 식각(307)은 CxFy 또는 CxHyFz 등의 주 식각가스와 Ar 가스 등의 불활성 가스의 혼합 가스 또는 여기에 O2, N2, H2 및 COx 로부터 선택된 하나 이상의 가스가 더 첨가된 혼합 가스를 사용하는 RIE로 진행하면 상기 조건을 충족시킬 수 있다.
도 17을 참조하면, 마스크용 비아 충전재(262)를 건식 식각(317)하여 트렌치 형성용 충전재 마스크(262a)로 패터닝한다. 그 결과, 하드 마스크(282a)와 트렌치 형성용 충전재 마스크(262a)로 이루어진 멀티 스택 트렌치 형성용 마스크가 형성된다. 건식 식각(317)시 상부의 포토레지스트 패턴(285a)과 반사방지막 패턴(284a)은 함께 제거되는 것이, 트렌치 형성 후에 트렌치 형성용 충전재 마스크(262a)를 제거하는데 유리하다. 이 때, 비아(150) 내의 마스크용 비아 충전재(262)도 식각되어 일부(262b)가 잔류한다. 후속의 트렌치 식각 공정을 고려하건대, 잔류하는 비아 충전재(262b)의 상부면은 트렌치의 바닥면보다 낮게 잔류하도록 조절할 수 있다. 건식 식각(317)은 캡핑막(140)과 층간절연막(130)은 식각하지 않고 선택적으로 마스크용 비아 충전재(262)를 식각할 수 있는 조건으로 실시한다. 예컨대, O2, O2/CO, O2/Ar, H2, N2/H2, NH3/H2, He/H2, 또는 이들의 혼합 가스를 주 가스로 사용하고 CxHyFz 가스를 보조 가스로 사용하는 건식 식각으로 실시할 수 있다.
도 18을 참조하면, 멀티 스택 마스크를 식각 마스크로 사용하여 캡핑막(140) 및 층간 절연막(130)을 건식식각(327)하여 트렌치(290)를 형성한다. 트렌치 형성용 충전재 마스크(262a)는 건식 식각 내성이 큰 유기물 등으로 형성되므로 트렌치 형성용 충전재 마스크(262a)는 건식식각 동안 손상되지 않고 마스크로서의 기능을 충실히 수행하여 프로파일이 양호한 트렌치(290)가 형성될 수 있도록 한다. 건식식각(327)시 하드 마스크(282a)는 제거되도록 하는 것이 후속단계에서 트렌치 형성용 충전재 마스크(262a) 제거 공정을 용이하게 한다. 건식 식각(327)시 이전 단계에서 잔류하던 마스크용 비아 충전재(262b)도 식각되어 감소된 일부(262c)가 잔류하게 된다. 이때, 비아 캡핑막(155)이 노출되지 않고 소량의 마스크용 비아 충전재(262c)가 트렌치(290) 하부의 비아(150) 내에 잔류할 수 있는 조건으로 건식식각(327)을 실시한다. 예컨대, CxFy 가스를 주 식각 가스로 사용하고, N2 또는 Ar가스를 첨가 가스로 사용하는 건식 식각으로 진행하면 상기 조건을 충족시킬 수 있다.
도 19는 충전재 마스크(262a)와 비아 충전재(262c)를 제거한 결과물을 나타내는 단면도이다. 충전재 마스크(262a)와 비아 충전재(262c)는 O2 플라즈마 애슁 공정 또는 수소계(H2 based) 플라즈마 애슁에 의해 제거할 수 있다. 애싱 공정 후에는 유기 스트리퍼등을 사용하는 습식 식각 공정으로 애싱 공정에 의해 잔류하는 폴리머등을 완전히 제거한다. 또, 무기물인 층간 절연막(130)은 거의 식각되지 않고 트 렌치 형성용 충전재 마스크(262a)와 비아 충전재(262c)만 선택적으로 제거할 수 있는 습식 식각 공정을 적용할 수도 있다. 습식 식각은 적어도 트렌치 형성용 충전재 마스크(262a)와 비아 충전재(262c): 층간절연막(130)의 습식 식각비가 20:1 이상이 될 수 있는 조건으로 진행할 수 있다. 습식 식각 공정은 불소계(fluorine based) 식각액이나 유기 세정제를 사용하여 진행할 수 있다.
앞에서 설명한 트렌치 식각을 위한 포토레지스트 패턴(285a)을 형성하기 위한 노광전후의 베이킹, 포토레지스트 패턴(285a) 을 이용한 트렌치 식각, 충전재 마스크(262a)와 비아 충전재(262c)의 제거를 위한 플라즈마 또는 유기 용액 처리시 비아 캡핑막(155)이 하부 배선(110)의 상면을 보호하고 있기 때문에 하부 배선(110)의 손상 및 산화등을 효과적으로 방지할 수 있다.
도 20a 및 도 20b는 듀얼 다마신 배선(230)을 완성하는 단계를 나타낸다.
도 20a는 비아 캡핑막(155)을 잔존시킨채로 듀얼 다마신 배선(230)을 형성한 경우를 도 20b는 비아 캡핑막(155)을 제거한 후 듀얼 다마신 배선(230)을 형성한 경우를 각각 나타낸다.
비아 캡핑막(155)이 듀얼 다마신 배선의 저항 증가를 유발하지 않거나 저항 증가를 유발한다 하여도 저항 마진 범위, 예컨대 타겟 저항의 50% 범위 이내의 증가를 유발한다면, 도 20a에 도시되어 있는 바와 같이, 비아 캡핑막(155)을 잔존시킨채 듀얼 다마신 배선(230)을 형성하는 것이 공정 단순화 측면에서 유리하다. 또, 비아 캡핑막(155) 제거시 발생할 수 있는 하부 배선(110)의 손상등을 최소화할 수도 있다. 이 경우에는 하부 배선(110)의 대부분의 상면은 확산 방지 및 식각 정지 막(120a)이 덮고 비아(150)에 의해 노출된 하부 배선(110)의 상면에만 비아 캡핑막(155)이 형성된 구조를 하게 된다.
물론 저항이 중요한 변수가 되는 경우에는 도 20b와 같이 비아 캡핑막(155)을 제거한 후, 듀얼 다마신 배선(230)을 형성할 수도 있다. 비아 캡핑막(155)의 제거는 하부 배선(110)에 영향을 미치지 않고 비아 캡핑막(155)만 선택적으로 제거할 수 있는 식각 조건으로 실시한다.
비아(150)와 트렌치(290)로 이루어진 듀얼 다마신 영역(295)에 도전막을 형성한 후, 평탄화하여 듀얼 다마신 배선(330)을 완성한다. 따라서, 듀얼 다마신 배선(330)의 상면과 캡핑막(140)의 상면은 실질적으로 평행하게 된다. 듀얼 다마신 배선(330)은 제1 실시예에서 설명한 바와 동일하게 형성할 수 있다.
도 21은 본 발명의 제1 실시예에 따라 제조된 듀얼 다마신 배선과 종래의 방법에 의해 제조된 듀얼 다마신 배선의 접촉 저항(ohm-cm)을 측정한 결과를 나타내는 그래프이다. -○-는 제1 실시예에 따라 비아 캡핑막을 CoWP로 형성한 듀얼 다마신 배선의 접촉 저항값을 나타내며 -△-는 종래의 듀얼 다마신 배선 제조 방법에 따라 비아 캡핑막 없이 형성한 듀얼 다마신 배선의 접촉 저항값을 나타낸다. 도 21에 도시되어 있는 바와 같이 본 발명에 따라 듀얼 다마신 배선을 형성하더라도 종래의 경우에 비해 접촉 저항값의 증가가 매우 미미함을 알 수 있다.
본 발명의 실시예들에 따른 듀얼 다마신 제조 방법에 따르면, 비아에 의해 노출된 하부 배선을 비아 캡핑막으로 보호한다. 따라서, 비아 형성후 진행되는 다 수의 공정, 예를 들면, 트렌치 식각을 위한 포토레지스트 패턴을 형성하기 위한 노광전후의 베이킹, 포토레지스트 패턴을 이용한 트렌치 식각, 비아 충전재 또는 충전재 마스크의 제거를 위한 플라즈마 또는 유기 용액 처리 공정시 비아 캡핑막이 하부 배선의 상면을 보호하고 있기 때문에 하부 배선의 손상 및 산화등을 효과적으로 방지할 수 있다. 따라서, 본 발명에 따른 제조 방법에 의해 제조된 듀얼 다마신 배선을 포함하는 미세 전자 소자는 듀얼 다마신 배선의 신뢰성이 현저히 향상된다.
도면 및 실시예에는, 본 발명의 전형적인 바람직한 실시예가 개시되었으며, 비록 특정한 용어를 사용하였지만, 이것들은 단지 일반적이고 묘사적인 의미로 사용된 것이지 후술되는 청구항에 의하여 정해지는 본 발명의 사상을 제한하기 위하여 사용된 것은 아니다.

Claims (59)

  1. 하부 배선이 형성된 기판을 제공하는 단계;
    상기 기판 상에 층간 절연막을 형성하는 단계;
    상기 층간 절연막내에 상기 하부 배선을 노출시키는 비아를 형성하는 단계;
    상기 비아에 의해 노출된 상기 하부 배선 상에 비아 캡핑막을 형성하는 단계;
    상기 비아를 충전재로 채우는 단계;
    상기 비아를 매립한 상기 충전재와 상기 층간 절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계;
    상기 비아에 잔류하는 상기 충전재를 제거하는 단계; 및
    상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  2. 제1 항에 있어서, 상기 층간 절연막을 형성하는 단계 전에
    상기 하부 배선 상에 확산 방지 및 식각 정지막을 형성하는 단계를 더 포함하고,
    상기 층간 절연막을 형성하는 단계는 상기 확산 방지 및 식각 정지막 상에 상기 층간 절연막을 형성하는 단계이고,
    상기 비아를 형성하는 단계는
    상기 층간 절연막을 식각하여 상기 확산 방지 및 식각 정지막을 노출시키는 단계; 및
    상기 노출된 상기 확산 방지 및 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 상기 비아를 완성하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  3. 제2 항에 있어서, 상기 확산 방지 및 식각 정지막을 제거하는 단계는 에치백에 의해 수행하는 듀얼 다마신 배선의 제조 방법.
  4. 제1 항 또는 제2 항에 있어서, 상기 듀얼 다마신 배선을 완성하는 단계 전에, 상기 비아 캡핑막을 제거하는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  5. 제1 항 또는 제2 항에 있어서, 상기 비아 캡핑막은 상기 비아에 의해 노출된 상기 하부 배선 상에만 선택적으로 형성하는 듀얼 다마신 배선의 제조 방법.
  6. 제5 항에 있어서, 상기 비아 캡핑막을 형성하는 단계는 선택적 화학 기상 증착법, 무전해 도금법, 또는 선택적 원자층 증착법에 의해 수행하는 듀얼 다마신 배선의 제조 방법.
  7. 제1 항 또는 제2 항에 있어서, 상기 비아 캡핑막은 금속막, 금속 질화막, 금 속 산화막, 또는 이들의 적층막인 듀얼 다마신 배선의 제조 방법.
  8. 제1 항 또는 제2 항에 있어서, 상기 캡핑막은 W, Ta, Ti, CoWP, CoSnP, CoP, CoB, CoSnB, Pd, In, NiB, WNx, TaNx, TiNx, Ta2O5 또는 TiO2인 듀얼 다마신 배선의 제조 방법.
  9. 제1 항 또는 제2 항에 있어서, 상기 비아 캡핑막은 50 내지 500 Å 두께로 형성하는 듀얼 다마신 배선의 제조 방법.
  10. 제1 항 또는 제2 항에 있어서, 상기 층간 절연막은 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌, 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌, 또는 폴리사이드 수지, USG, TEOS, FSG, OSG, HSQ, 또는 MSQ를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  11. 제10 항에 있어서, 상기 층간 절연막은 TEOS, FSG 또는 OSG 를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  12. 제2 항에 있어서, 상기 확산 방지 및 식각 정지막은 SiC, SiN, SiCO, SiCON, SiCN 단일막 또는 이들의 적층막으로 형성하는 듀얼 다마신 배선의 제조 방법.
  13. 제1 항 또는 제2 항에 있어서, 상기 비아를 형성하는 단계 전에
    상기 층간 절연막 위에 캡핑막을 형성하는 단계를 더 포함하고,
    상기 비아를 형성하는 단계는 상기 캡핑막 및 상기 절연막 내에 상기 비아를 형성하는 단계인 듀얼 다마신 배선의 제조 방법.
  14. 제13 항에 있어서, 상기 캡핑막은 SiO2, SiOF, SiON, SiC, SiN, SiCN 단일막 또는 이들의 적층막으로 형성하는 듀얼 다마신 배선의 제조 방법.
  15. 제1 항 또는 제2 항에 있어서, 상기 충전재는 폴리 알릴렌 에테르계 물질, 폴리메타메틸아크릴레이트계 물질 및 비닐에테르메타아크릴레이트계 물질로 이루어진 그룹에서 선택된 물질이고,
    상기 충전재를 제거하는 단계는 O2 플라즈마 애슁, 수소계 플라즈마 애슁, 또는 습식 식각 공정에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  16. 제1 항 또는 제2 항에 있어서, 상기 충전재는 HSQ계 물질 및 MSQ계 물질로 이루어진 그룹에서 선택된 물질이고,
    상기 충전재를 제거하는 단계는 습식 식각 공정에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  17. 제1 항 또는 제2 항에 있어서, 상기 충전재는 반사 방지 기능, 포토레지스트 현상액에 대한 용해 억제기능 또는 염기성 물질에 대한 장벽 기능을 가지는 물질인 듀얼 다마신 배선의 제조 방법.
  18. 제1 항 또는 제2 항에 있어서, 상기 트렌치를 형성하는 단계 전에
    상기 충전재 표면을 플라즈마 처리하는 단계, 상기 충전재 상에 반사방지막을 형성하는 단계 및 상기 충전재 표면을 플라즈마 처리한 후 그 위에 반사방지막을 형성하는 단계를 포함하는 그룹에서 선택된 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  19. 제1 항 또는 제2 항에 있어서, 상기 트렌치를 형성하는 단계는
    상기 충전재 위에 상기 트렌치를 정의하는 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴을 식각마스크로 하여 상기 충전재: 상기 층간 절연막의 식각비가 10:1 이하가 되도록 건식 식각하여 상기 트렌치를 형성하는 단계; 및
    상기 포토레지스트 패턴을 제거하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  20. 제19 항에 있어서, 상기 건식 식각은 CxFy 또는 CxHyFz 가스를 주 식각 가스로 사용하고,
    상기 포토레지스트 패턴을 제거하는 단계는 플라즈마 애슁 단계와 유기 스트리핑 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  21. 제1 항 또는 제2 항에 있어서, 상기 듀얼 다마신 배선은 구리 배선인 듀얼 다마신 배선의 제조 방법.
  22. 하부 배선이 형성된 기판을 제공하는 단계;
    상기 기판 상에 층간 절연막을 형성하는 단계;
    상기 층간 절연막내에 상기 하부 배선을 노출시키는 비아를 형성하는 단계;
    상기 비아에 의해 노출된 상기 하부 배선 상에 비아 캡핑막을 형성하는 단계;
    상기 비아를 채우며 상기 층간절연막을 덮는 마스크용 충전재를 형성하는 단계;
    상기 마스크용 충전재 상부에 하드마스크막을 형성하는 단계;
    상기 하드마스크막상에 배선이 형성될 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴을 식각마스크로 하여 상기 하드마스크막을 식각하여 하드마스크로 패터닝하는 단계;
    상기 포토레지스트 패턴과 상기 하드마스크를 식각마스크로 사용하여 상기 층간 절연막상의 상기 충전재를 트렌치 형성용 충전재 마스크로 패터닝하여 상기 하드마스크와 상기 트렌치 형성용 충전재 마스크로 이루어진 멀티 스택 마스크를 형성하는 단계;
    상기 멀티 스택 마스크를 식각마스크로 하여 상기 층간절연막을 일부 식각하여 상기 비아와 연결되고 배선이 형성될 트렌치를 형성하는 단계;
    상기 트렌치 형성용 충전재 마스크 및 상기 비아 내에 잔류하는 상기 충전재를 제거하는 단계; 및
    상기 트렌치 및 상기 비아를 배선 물질로 채워서 듀얼 다마신 배선을 완성하는 단계를 포함하는 듀얼 다마신 배선 제조 방법.
  23. 제22 항에 있어서, 상기 층간 절연막을 형성하는 단계 전에
    상기 하부 배선 상에 확산 방지 및 식각 정지막을 형성하는 단계를 더 포함하고,
    상기 층간 절연막을 형성하는 단계는 상기 확산 방지 및 식각 정지막 상에 상기 층간 절연막을 형성하는 단계이고,
    상기 비아를 형성하는 단계는
    상기 층간 절연막을 식각하여 상기 확산 방지 및 식각 정지막을 노출시키는 단계; 및
    상기 노출된 상기 확산 방지 및 식각 정지막을 제거하여 상기 하부 배선을 노출시키는 상기 비아를 완성하는 단계를 포함하는 듀얼 다마신 배선의 제조 방법.
  24. 제23 항에 있어서, 상기 확산 방지 및 식각 정지막을 제거하는 단계는 에치백에 의해 수행하는 듀얼 다마신 배선의 제조 방법.
  25. 제22 항 또는 제23 항에 있어서, 상기 듀얼 다마신 배선을 완성하는 단계 전에, 상기 비아 캡핑막을 제거하는 단계를 더 포함하는 듀얼 다마신 배선의 제조 방법.
  26. 제22 항 또는 제23 항에 있어서, 상기 비아 캡핑막은 상기 비아에 의해 노출된 상기 하부 배선 상에만 선택적으로 형성하는 듀얼 다마신 배선의 제조 방법.
  27. 제26 항에 있어서, 상기 비아 캡핑막을 형성하는 단계는 선택적 화학 기상 증착법, 무전해 도금법, 또는 선택적 원자층 증착법에 의해 수행하는 듀얼 다마신 배선의 제조 방법.
  28. 제22 항 또는 제23 항에 있어서, 상기 비아 캡핑막은 금속막, 금속 질화막, 금속 산화막, 또는 이들의 적층막인 듀얼 다마신 배선의 제조 방법.
  29. 제22 항 또는 제23 항에 있어서, 상기 캡핑막은 W, Ta, Ti, CoWP, CoSnP, CoP, CoB, CoSnB, Pd, In, NiB, WNx, TaNx, TiNx, Ta2O5 또는 TiO2인 듀얼 다마신 배선의 제조 방법.
  30. 제22 항 또는 제23 항에 있어서, 상기 비아 캡핑막은 50 내지 500 Å 두께로 형성하는 듀얼 다마신 배선의 제조 방법.
  31. 제22 항 또는 제23 항에 있어서, 상기 층간 절연막은 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌, 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌, 또는 폴리사이드 수지, USG, TEOS, FSG, OSG, HSQ, 또는 MSQ를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  32. 제31 항에 있어서, 상기 층간 절연막은 TEOS, FSG 또는 OSG 를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  33. 제23 항에 있어서, 상기 확산 방지 및 식각 정지막은 SiC, SiN, SiCO, SiCON, SiCN 단일막 또는 이들의 적층막으로 형성하는 듀얼 다마신 배선의 제조 방법.
  34. 제22 항 또는 제23 항에 있어서, 상기 비아를 형성하는 단계 전에
    상기 층간 절연막 위에 캡핑막을 형성하는 단계를 더 포함하고,
    상기 비아를 형성하는 단계는 상기 캡핑막 및 상기 절연막 내에 상기 비아를 형성하는 단계인 듀얼 다마신 배선의 제조 방법.
  35. 제34 항에 있어서, 상기 캡핑막은 SiO2, SiOF, SiON, SiC, SiN, SiCN 단일막 또는 이들의 적층막으로 형성하는 듀얼 다마신 배선의 제조 방법.
  36. 제22 항 또는 제23 항에 있어서, 상기 충전재는 스핀-온 폴리머인 듀얼 다마신 배선의 제조 방법.
  37. 제36 항에 있어서, 상기 충전재를 제거하는 단계는 O2 플라즈마 애슁, 수소계 플라즈마 애슁, 또는 습식 식각 공정에 의해 수행되는 듀얼 다마신 배선의 제조 방법.
  38. 제22 항 또는 제23 항에 있어서, 상기 층간 절연막은 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플루오르스티렌, 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌, 또는 폴리사이드 수지, USG, TEOS, FSG, OSG, HSQ, 또는 MSQ를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  39. 제38 항에 있어서, 상기 층간 절연막은 USG, TEOS, FSG 또는 OSG 를 사용하여 형성하는 듀얼 다마신 배선의 제조 방법.
  40. 제22 항 또는 제23 항에 있어서, 상기 하드 마스크막은 SiO2, SiN, SiON, SiC, SiCN, SiOC, SiOCN, BCB, Ta, TaN, Ti, TiN, Al2O3, BN, HSQ 단일막 또는 이들의 적층막으로 형성하는 듀얼 다마신 배선의 제조 방법.
  41. 제40 항에 있어서, 상기 하드 마스크막은 상기 반사방지기능, 용해억제기능 및/또는 염기성 물질 확산 장벽 기능을 가진 듀얼 다마신 배선의 제조 방법.
  42. 제22 항 또는 제23 항에 있어서, 상기 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계 전에,
    상기 하드마스크막 표면을 플라즈마 처리하는 단계, 상기 하드마스크막 상에 반사방지막을 형성하는 단계 및 상기 하드마스크막 표면을 플라즈마 처리한 후 그 위에 반사방지막을 형성하는 단계를 포함하는 그룹에서 선택된 단계를 더 실시하는 듀얼 다마신 배선의 제조 방법.
  43. 제22 항 또는 제23 항에 있어서, 상기 트렌치 영역을 정의하는 포토레지스트 패턴을 형성하는 단계 전에
    상기 하드마스크막 상에 반사방지막을 형성하는 단계를 더 포함하고,
    상기 하드막스크막을 하드마스크로 패터닝하는 단계는
    상기 포토레지스트 패턴을 식각마스크로 하여 상기 반사방지막과 상기 하드마스크막을 식각하여 반사방지막 패턴과 상기 하드마스크를 형성하는 단계이고,
    상기 멀티 스택 마스크를 형성하는 단계는 상기 포토레지스트 패턴 및 상기 하드마스크를 식각마스크로 사용하여 상기 충전재를 상기 트렌치 형성용 충전재 마스크로 패터닝하는 단계인 듀얼 다마신 배선의 제조 방법.
  44. 제43 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계시 상기 포토레지스트 패턴과 상기 반사 방지막 패턴은 제거되고 상기 멀티 스택 마스크는 상기 하드마스크와 상기 트렌치 형성용 충전재 마스크를 포함하는 듀얼 다마신 배선의 제조 방법.
  45. 제22 항 또는 제23 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계시 상기 비아에 잔류하는 상기 충전재의 상면이 상기 트렌치의 바닥보다 낮도록 잔류시키는 듀얼 다마신 배선의 제조 방법.
  46. 제22 항 또는 제23 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계시 상기 포토레지스트 패턴도 제거되는 듀얼 다마신 배선의 제조 방법.
  47. 제22 항 또는 제23 항에 있어서, 상기 멀티 스택 마스크를 형성하는 단계는 O2, O2/CO, O2/Ar, O2/N2, H2, N2 /H2, NH3/H2, He/H2 또는 이들의 혼합 가스를 주 가스로 사용하고 CxHyFz 가스를 보조 가스로 사용하는 건식 식각에 의해 진행되는 듀얼 다마신 배선의 제조 방법.
  48. 제22 항 또는 제23 항에 있어서, 상기 트렌치를 형성하는 단계시
    상기 하드마스크도 식각되어 제거되는 듀얼 다마신 배선의 제조 방법.
  49. 제22 항 또는 제23 항에 있어서, 상기 트렌치를 형성하는 단계는 CxFy 가스를 주 식각 가스로 사용하는 건식 식각에 의해 진행되는 듀얼 다마신 배선의 제조 방법.
  50. 제22 항 또는 제23 항에 있어서, 상기 듀얼 다마신 배선을 완성하는 단계에서 상기 듀얼다마신 배선은 구리 배선인 듀얼 다마신 배선의 제조 방법.
  51. 기판 상에 형성된 하부 배선;
    상기 하부 배선 상에 형성된 층간 절연막;
    상기 층간 절연막 내에 형성되어 상기 하부 배선을 노출시키는 비아와 상기 층간 절연막 상부로부터 소정 깊이에 형성되어 상기 비아와 연결된 트렌치로 이루어진 듀얼 다마신 영역을 채우는 듀얼 다마신 배선; 및
    상기 비아내에 상기 하부 배선과 상기 듀얼 다마신 배선 사이에 형성된 도전성 비아 캡핑막을 포함하는 미세 전자 소자.
  52. 제51 항에 있어서, 상기 도전성 비아 캡핑막이 형성된 상기 하부 배선 영역 이외의 상기 하부 배선 영역상에 형성된 확산 방지 및 식각 정지막을 더 포함하는 미세 전자 소자.
  53. 제52 항에 있어서, 상기 확산 방지 및 식각 정지막은 SiC, SiN, SiCO, SiCON, SiCN 단일막 또는 이들의 적층막인 미세 전자 소자.
  54. 제51 항 또는 제52 항에 있어서, 상기 비아 캡핑막은 W, Ta, Ti, CoWP, CoSnP, CoP, CoB, CoSnB, Pd, In 또는 NiB으로 형성된 미세 전자 소자.
  55. 제51 항 또는 제52 항에 있어서, 상기 비아 캡핑막은 50 내지 500 Å 두께인 미세 전자 소자.
  56. 제51 항 또는 제52 항에 있어서, 상기 층간 절연막은 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타 플 루오르스티렌, 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌, 또는 폴리사이드 수지, USG, TEOS, FSG, OSG, HSQ, 또는 MSQ로 형성된 미세 전자 소자.
  57. 제56 항에 있어서, 상기 층간 절연막은 TEOS, FSG 또는 OSG로 형성된 미세 전자 소자.
  58. 제51 항 또는 제52 항에 있어서, 상기 층간절연막 상에 형성된 캡핑막을 더 포함하고, 상기 듀얼 다마신 배선의 상면은 상기 캡핑막의 상면과 실질적으로 평행한 미세 전자 소자.
  59. 제58 항에 있어서, 상기 캡핑막은 SiO2, SiOF, SiON, SiC, SiN, SiCN 단일막 또는 이들의 적층막으로 형성된 미세 전자 소자.
KR1020050010981A 2005-02-05 2005-02-05 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자 KR100690881B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020050010981A KR100690881B1 (ko) 2005-02-05 2005-02-05 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
US11/223,311 US7541276B2 (en) 2005-02-05 2005-09-09 Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
TW095103706A TWI362087B (en) 2005-02-05 2006-02-03 Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
CN200610007141A CN100576494C (zh) 2005-02-05 2006-02-05 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
JP2006028750A JP5047504B2 (ja) 2005-02-05 2006-02-06 ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050010981A KR100690881B1 (ko) 2005-02-05 2005-02-05 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자

Publications (2)

Publication Number Publication Date
KR20060090449A true KR20060090449A (ko) 2006-08-11
KR100690881B1 KR100690881B1 (ko) 2007-03-09

Family

ID=36780501

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050010981A KR100690881B1 (ko) 2005-02-05 2005-02-05 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자

Country Status (4)

Country Link
US (1) US7541276B2 (ko)
KR (1) KR100690881B1 (ko)
CN (1) CN100576494C (ko)
TW (1) TWI362087B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703561B1 (ko) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR100880233B1 (ko) * 2007-08-29 2009-01-28 주식회사 동부하이텍 금속배선 형성 방법
KR100898222B1 (ko) * 2007-08-30 2009-05-18 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US9396990B2 (en) 2013-01-31 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity
US9793212B2 (en) 2015-04-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
KR20180003447A (ko) * 2016-06-30 2018-01-09 램 리써치 코포레이션 듀얼 다마신 충진
KR20210086396A (ko) * 2019-12-27 2021-07-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100652317B1 (ko) * 2005-08-11 2006-11-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 패드 제조 방법
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
CN101202244B (zh) * 2006-12-15 2010-06-09 中芯国际集成电路制造(上海)有限公司 双镶嵌结构形成过程中光刻胶图形的去除方法
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US7888798B2 (en) * 2007-05-16 2011-02-15 Samsung Electronics Co., Ltd. Semiconductor devices including interlayer conductive contacts and methods of forming the same
CN101355047B (zh) * 2007-07-27 2010-05-19 中芯国际集成电路制造(上海)有限公司 在低介电常数介质层中形成通孔的方法
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP2010021296A (ja) * 2008-07-10 2010-01-28 Panasonic Corp 半導体装置の製造方法
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
US8722537B2 (en) * 2009-03-19 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-sacrificial layer and method
CN102044523B (zh) * 2009-10-14 2012-08-22 无锡华润上华半导体有限公司 半导体器件结构及其制造方法
CN102403263B (zh) * 2010-09-17 2014-06-04 中芯国际集成电路制造(北京)有限公司 双大马士革结构中的沟槽刻蚀方法
CN102468265A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 连接插塞及其制作方法
KR101732975B1 (ko) * 2010-12-03 2017-05-08 삼성전자주식회사 반도체 장치의 제조 방법
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
CN102760684A (zh) * 2011-04-26 2012-10-31 中芯国际集成电路制造(上海)有限公司 金属互连方法
CN102443830A (zh) * 2011-10-12 2012-05-09 上海华力微电子有限公司 一种改善电镀铜工艺的方法
JP6049527B2 (ja) * 2013-04-05 2016-12-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN104377160B (zh) * 2013-08-14 2017-05-03 华邦电子股份有限公司 金属内连线结构及其工艺
EP3238236B1 (en) * 2014-12-23 2021-12-15 Intel Corporation Via blocking layer
CN109216267A (zh) 2014-12-23 2019-01-15 英特尔公司 解耦过孔填充
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10043740B2 (en) 2016-07-12 2018-08-07 Intel Coporation Package with passivated interconnects
US9805972B1 (en) * 2017-02-20 2017-10-31 Globalfoundries Inc. Skip via structures
US10312188B1 (en) * 2018-01-11 2019-06-04 Globalfoundries Inc. Interconnect structure with method of forming the same
KR102592854B1 (ko) 2018-04-06 2023-10-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10784151B2 (en) * 2018-09-11 2020-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method for the same
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
US11164815B2 (en) * 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
KR20210065514A (ko) * 2019-11-27 2021-06-04 삼성전자주식회사 집적 회로 반도체 소자의 상호 접속 구조체
CN114496904A (zh) * 2020-10-27 2022-05-13 长鑫存储技术有限公司 半导体结构的形成方法
TWI833228B (zh) * 2022-03-31 2024-02-21 南亞科技股份有限公司 半導體元件的製備方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
JP2000156406A (ja) 1998-11-19 2000-06-06 Sony Corp 半導体装置およびその製造方法
TW495910B (en) 1999-07-16 2002-07-21 Taiwan Semiconductor Mfg Manufacturing method of dual damascene structure
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
TW461028B (en) 2000-08-14 2001-10-21 Taiwan Semiconductor Mfg Method for manufacturing dual damascene structure
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6603204B2 (en) 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6579793B2 (en) 2001-03-27 2003-06-17 Sharp Laboratories Of America, Inc. Method of achieving high adhesion of CVD copper thin films on TaN Substrates
US6664182B2 (en) 2001-04-25 2003-12-16 Macronix International Co. Ltd. Method of improving the interlayer adhesion property of low-k layers in a dual damascene process
TW516180B (en) 2001-05-17 2003-01-01 Silicon Integrated Sys Corp Manufacturing method for dual damascene structure of integrated circuit
TW494534B (en) 2001-05-25 2002-07-11 United Microelectronics Corp Method of fabricating a dual damascene structure
TW521385B (en) 2001-05-28 2003-02-21 United Microelectronics Corp Method for forming via-first dual damascene interconnect structure
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6458705B1 (en) * 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
TW508741B (en) 2001-06-07 2002-11-01 United Microelectronics Corp Method for manufacturing dual damascene structure
TW516182B (en) 2002-01-23 2003-01-01 Taiwan Semiconductor Mfg Manufacturing method of dual damascene structure
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
KR100475931B1 (ko) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 다층 배선 형성방법
TW574745B (en) 2002-09-05 2004-02-01 Taiwan Semiconductor Mfg A dual damascene process applying porous low-k dielectric
US7109119B2 (en) * 2002-10-31 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6995085B2 (en) * 2003-01-17 2006-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Underlayer protection for the dual damascene etching
JP3992654B2 (ja) * 2003-06-26 2007-10-17 沖電気工業株式会社 半導体装置の製造方法
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7538025B2 (en) * 2003-11-14 2009-05-26 Taiwan Semiconductor Manufacturing Company Dual damascene process flow for porous low-k materials
US20060216929A1 (en) * 2005-03-28 2006-09-28 Hyun-Mog Park Etch stopless dual damascene structure and method of fabrication
US7713865B2 (en) * 2005-06-24 2010-05-11 International Business Machines Corporation Preventing damage to metal using clustered processing and at least partially sacrificial encapsulation

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703561B1 (ko) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR100880233B1 (ko) * 2007-08-29 2009-01-28 주식회사 동부하이텍 금속배선 형성 방법
KR100898222B1 (ko) * 2007-08-30 2009-05-18 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US9396990B2 (en) 2013-01-31 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity
US10163794B2 (en) 2013-01-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity
US9793212B2 (en) 2015-04-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
KR20180003447A (ko) * 2016-06-30 2018-01-09 램 리써치 코포레이션 듀얼 다마신 충진
KR20210086396A (ko) * 2019-12-27 2021-07-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
TWI362087B (en) 2012-04-11
CN100576494C (zh) 2009-12-30
TW200629472A (en) 2006-08-16
CN1835206A (zh) 2006-09-20
US20060178002A1 (en) 2006-08-10
KR100690881B1 (ko) 2007-03-09
US7541276B2 (en) 2009-06-02

Similar Documents

Publication Publication Date Title
KR100690881B1 (ko) 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
KR100745986B1 (ko) 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7323407B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using diffusion barrier layer against base material
KR100568257B1 (ko) 듀얼 다마신 배선의 제조방법
US7064059B2 (en) Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
US6743713B2 (en) Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US7183195B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US7268071B2 (en) Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7351635B2 (en) Method of fabricating microelectronic device using super critical fluid
US7291553B2 (en) Method for forming dual damascene with improved etch profiles
US8298935B2 (en) Dual damascene process
US10522463B2 (en) Semiconductor structure
US20070134917A1 (en) Partial-via-first dual-damascene process with tri-layer resist approach
TWI567870B (zh) 內連接結構及其製造方法
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
KR100546337B1 (ko) 하이브리드형 저 유전율 물질과 탄소가 없는 무기충전재를 사용하는 미세 전자 소자의 듀얼 다마신 배선의제조 방법
JP2004064089A (ja) ハイブリッド型低誘電率物質と炭素を含まない無機充填材を使用する微細電子素子のデュアルダマシン配線の製造方法
KR100440080B1 (ko) 반도체 소자의 금속배선 형성방법
TWI509740B (zh) 雙鑲嵌製程
KR101005738B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
CN113314401A (zh) 形成半导体结构的方法
KR100481889B1 (ko) 반도체 소자의 제조방법
KR20050049003A (ko) 이중 개구부를 갖는 반도체 소자의 제조 방법
KR20070064965A (ko) 반도체 소자의 미세 패턴 형성 방법
KR20010059538A (ko) 반도체소자의 금속배선 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130131

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150202

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200131

Year of fee payment: 14