KR20050054064A - 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법 - Google Patents

희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법 Download PDF

Info

Publication number
KR20050054064A
KR20050054064A KR1020030087351A KR20030087351A KR20050054064A KR 20050054064 A KR20050054064 A KR 20050054064A KR 1020030087351 A KR1020030087351 A KR 1020030087351A KR 20030087351 A KR20030087351 A KR 20030087351A KR 20050054064 A KR20050054064 A KR 20050054064A
Authority
KR
South Korea
Prior art keywords
metal oxide
sacrificial
layer
film
forming
Prior art date
Application number
KR1020030087351A
Other languages
English (en)
Other versions
KR100583957B1 (ko
Inventor
김재학
문영준
이경우
황정욱
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030087351A priority Critical patent/KR100583957B1/ko
Priority to US10/939,930 priority patent/US7064059B2/en
Priority to EP04027944A priority patent/EP1538665B1/en
Priority to DE602004004483T priority patent/DE602004004483T2/de
Priority to CNB2004101001898A priority patent/CN1306590C/zh
Publication of KR20050054064A publication Critical patent/KR20050054064A/ko
Application granted granted Critical
Publication of KR100583957B1 publication Critical patent/KR100583957B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

희생금속산화막을 채택하여 이중다마신 금속배선을 형성하는 방법이 개시된다. 이 방법은 반도체기판을 준비하는 것을 포함한다. 상기 반도체기판 상에 층간절연막을 형성하고, 상기 층간절연막을 패터닝하여 예비비아홀을 형성한다. 상기 예비비아홀이 형성된 반도체기판 상에 상기 예비비아홀을 채우고 상기 층간절연막 상부면을 덮는 희생비아보호막을 형성한다. 상기 희생비아보호막 상에 희생금속산화막을 형성하고, 상기 희생금속산화막을 패터닝하여 상기 예비비아홀의 상부를 가로지르고, 상기 희생비아보호막을 노출시키는 개구부를 갖는 희생금속산화패턴을 형성한다. 상기 희생금속산화패턴을 식각마스크로 사용하여 상기 희생비아보호막 및 상기 층간절연막을 식각하여 상기 층간절연막 내에 위치하는 트렌치를 형성한다.

Description

희생금속산화막을 채택하여 이중다마신 금속배선을 형성하는 방법{Method of forming a dual damascene metal interconnection employing a sacrificial metal oxide layer}
본 발명은 반도체소자 제조 방법에 관한 것으로, 특히 희생금속산화막을 채택하여 이중다마신 금속배선을 형성하는 방법에 관한 것이다.
반도체소자의 고집적화에 따라, RC 지연(RC delay) 및 EM (electro-migration) 등의 문제로 반도체 소자의 성능과 신뢰성을 결정하는 공정으로서 금속 배선 공정의 중요성이 커지고 있다. 이러한 문제에 대한 해결 방안으로서 구리 배선 및 저유전막이 반도체 장치에 적용되고 있고, 구리 배선을 형성하기 위해 다마신 공정이 사용되고 있다.
이중다마신 공정은 하부배선을 노출시키는 비아홀과 상기 비아홀의 상부를 가로지르는 트렌치를 형성하고, 상기 비아홀 및 상기 트렌치를 구리와 같은 금속재료로 매립한 후, 화학기계적 연마(chemical-mechanical Polishing; CMP) 공정을 거쳐, 금속 배선과 비아 플러그(via plug)를 동시에 형성하는 공정이다. 그리고, 이중다마신 금속배선은 이중다마신 공정에 의해 형성된 금속배선을 의미한다.
한편, 비아홀을 먼저 형성하고 그 후에 트렌치를 형성하는 이중다마신 공정을 비아 퍼스트 이중다마신 공정(via first dual damascene; VFDD)이라 한다. VFDD의 경우, 비아홀 또는 트렌치만을 형성하기 위한 사진공정에 비해, 사진 공정의 공정여유도가 부족할 수 있다. 특히, 상기 비아홀이 형성된 후, 상기 트렌치를 형성하기 위한 사진공정의 공정여유도가 문제가 될 수 있다. 또한, 상기 트렌치를 형성하는 동안 상기 비아홀을 통해 노출된 하부 배선에 식각손상(etch damage)이 발생할 수 있다.
상기 하부배선의 식각손상을 방지하고, 사진공정의 공정여유도를 증가시킬 수 있는 방법이 미국특허 제 6,329,118호에 "희생광흡수물질을 사용하여 이중다마신 배선들을 패터닝하기 위한 방법{Method for patterning dual damascene interconnects using a sacrificial light absorbing material}"이라는 제목으로 후세인 등(Hussein et al.)에 의해 개시된 바 있다.
상기 미국특허 제 6,329,118호에 개시된 방법은 절연막 내에 형성된 비아홀을 희생광흡수물질로 매립하고, 상기 희생광흡수물질 상에 트렌치를 형성하기 위한 포토레지스트 패턴을 형성한다. 그 후, 상기 포토레지스트 패턴을 식각마스크로 사용하여 상기 희생광흡수물질 및 상기 절연막을 식각하여 트렌치를 형성한다.
상기 희생광흡수물질은 사진공정의 노광단계에서 기판 반사율(substrate reflectivity)을 감소시켜 임계크기(critical dimension; CD) 및 CD 균일성(uniformity)을 조절할 수 있는 능력을 향상시킨다. 또한, 상기 희생광흡수물질은 상기 절연막과 대체로(substancially) 동일한 건식식각율로 건식식각되고, 상기 절연막에 비해 상당히(significantly) 빠른 습식식각율로 습식식각된다. 따라서, 트렌치를 형성하는 동안 비아홀을 통해 노출된 하부배선을 보호할 수 있다.
그러나, 반도체소자가 더욱 고집적화함에 따라, 상기 트렌치의 피치가 더욱 감소한다. 디자인 룰이 90nm 이하일 경우, KrF 레이저를 사용하는 노광공정으로는 적당한 피치를 갖는 포토레지스트 패턴을 형성하기 어렵다. 더욱이, 상기 포토레지스트 패턴을 식각마스크로 사용하여 절연막을 식각하기 위해서는 일정 두께 이상의 포토레지스트 막이 요구된다. 따라서, KrF 레이저를 사용하는 사진공정은 해상도(resolution) 및 초점심도(depth of focus; DOF)와 같은 공정여유도가 부족하다. 이에 대응하여, ArF 레이저를 사용하는 사진 공정이 도입되고 있다. ArF 레이저를 사용하는 사진공정은 해상도를 향상시킬 수 있어, 종래의 KrF 레이저를 사용하는 사진공정에 비해 더욱 미세한 포토레지스트 패턴을 형성할 수 있다. 그러나, ArF 용 포토레지스트 막은 KrF 용 포토레지스트 막에 비해 식각내성이 약하다. 따라서, 상기 포토레지스트 패턴을 식각마스크로 사용하여 트렌치를 형성하는 식각공정은 공정여유도를 확보하기 어렵다.
결과적으로, 반도체소자가 고집적화함에 따라, 상기 미국특허 제 6,329,118호에 개시된 방법과 같이 포토레지스트 패턴을 식각마스크로 사용하여 트렌치를 형성하는 이중다마신 공정은 사진 및 식각공정의 공정여유도를 동시에 확보할 수 없다.
본 발명의 목적은, 비아홀과 트렌치를 정렬시키기 위한 추가적인 공정없이, 트렌치를 형성하기 위한 사진 및 식각공정의 공정여유도를 동시에 확보할 수 있는 이중다마신 금속배선 형성방법을 제공하는 데 있다.
상기 목적을 달성하기 위하여, 본 발명은 희생금속산화막을 채택하여 이중다마신 금속배선을 형성하는 방법을 제공한다. 이 방법은 반도체기판을 준비하는 것을 포함한다. 상기 반도체기판 상에 층간절연막을 형성하고, 상기 층간절연막을 패터닝하여 예비비아홀을 형성한다. 상기 예비비아홀이 형성된 반도체기판 상에 상기 예비비아홀을 채우고 상기 층간절연막 상부면을 덮는 희생비아보호막을 형성한다. 상기 희생비아보호막 상에 희생금속산화막을 형성하고, 상기 희생금속산화막을 패터닝하여 상기 예비비아홀의 상부를 가로지르고, 상기 희생비아보호막을 노출시키는 개구부를 갖는 희생금속산화패턴을 형성한다. 상기 희생금속산화패턴을 식각마스크로 사용하여 상기 희생비아보호막 및 상기 층간절연막을 식각하여 상기 층간절연막 내에 위치하는 트렌치를 형성한다. 이에따라, 상기 희생금속산화패턴을 형성하기 위한 사진 공정은 ArF 레이저를 사용할 수 있어 사진 공정여유도를 확보할 수 있으며, 상기 희생금속산화패턴을 식각마스크로 사용하여 트렌치를 형성하므로 식각공정의 공정여유도를 확보할 수 있다.
한편, 상기 희생금속산화패턴 및 상기 희생비아보호막을 제거하여 상기 층간절연막의 상부면 및 상기 예비비아홀 하부면을 노출시킨다. 그 후, 상기 노출된 하부면을 식각하여 상기 반도체기판을 노출시키는 최종비아홀을 형성한다. 상기 최종비아홀이 형성된 반도체기판 상에 확산방지막 및 씨드층을 차례로 형성하고, 상기 씨드층이 형성된 반도체기판 상에 상기 최종비아홀 및 상기 트렌치의 빈공간을 채우는 구리막을 형성한다. 그 후, 상기 층간절연막의 상부면이 노출될 때 까지 상기 구리막, 상기 씨드층 및 상기 확산방지막을 차례로 평탄화하여 이중다마신 금속배선을 형성할 수 있다.
바람직하게는, 상기 희생금속산화막 상에 캐핑층을 더 형성할 수 있다. 상기 캐핑층은 상기 희생금속산화막의 반사율을 감소시켜 CD 및 CD 균일성을 조절할 수 있는 능력을 향상시킨다. 상기 캐핑층은 100Å 내지 500Å의 두께로 형성하는 것이 바람직하다. 한편, 상기 희생금속산화막을 패터닝하는 동안 상기 캐핑층을 함께 패터닝하여 캐핑층 패턴을 형성한다. 상기 캐핑층 패턴은 상기 트렌치를 형성하는 동안 제거되는 것이 바람직하다.
바람직하게는, 상기 층간절연막을 형성하기 전에, 식각저지막을 형성할 수 있다. 상기 식각저지막은 실리콘질화막(SiN), 실리콘탄화막(SiC) 또는 실리콘탄질화막(SiCN)을 포함한다. 상기 식각저지막은 상기 예비비아홀을 형성하는 동안 상기 반도체기판의 식각손상을 방지한다.
이에 더하여, 상기 층간절연막은 상기 식각저지막에 대하여 식각선택비를 갖는 저유전막(low-k dielectric layer)으로 형성하는 것이 바람직하다. 상기 층간절연막은 FSG(fluorine doped silicate glass), PSG(phosphorous silicate glass), USG(undoped silicate glass), BPSG(boro phosphorous silicate glass) 막 및 PE-TEOS(plasma enhanced-tetra ethyl ortho silicate)막과 같은 실리콘산화막(SiO2) 또는 실리콘산탄화막(SiOC)으로 형성할 수 있으며 이들을 적층하여 형성할 수 있다. 또한, 상기 층간절연막은 저유전율을 갖는 유기폴리머(organo polymer)로 형성할 있다.
한편, 상기 희생비아보호막은 상기 층간절연막의 건식식각률과 대체로(substantially) 동일한 건식식각률로 건식식각되고, 상기 저유전막에 대하여 상당히(significantly) 큰 습식식각 선택비를 갖는 SOD(spin on deposition) 막으로 형성하는 것이 바람직하다. 상기 SOD 막은 낮은 증착 두께로도 상기 예비비아홀을 매립시킬 수 있으며, 평탄화 특성이 우수하여 사진 공정의 공정여유도를 증가시킨다. 바람직하게는, 상기 SOD 막은 수소-규소화합물(hydrogen-siloxane)막, 유기-규소화합물(organo-siloxane) 막 또는 SOP(spin on polymer) 막으로 형성할 수 있으며, 더욱 바람직하게는 HSQ(hydrogen silsequioxane) 또는 MSQ(methyl silsequioxane)로 형성할 수 있다.
바람직하게는, 상기 희생금속산화막은 알루미늄산화막(Al2O3), 인듐틴산화막(InSnO), 탄탈륨산화막(Ta2O5), 란타늄산화막(La2O3) 또는 하프늄산화막(HfO2)으로 형성할 수 있으며, 이들 중 적어도 2개 이상의 막을 적층하여 형성할 수 있다. 더욱 바람직하게는, 상기 희생금속산화막은 Al2O3로 형성한다.
이에 더하여, 상기 희생금속산화막은 200Å 내지 1000Å의 두께로 형성하는 것이 바람직하다. 상기 희생금속산화막의 두께가 얇을 수록, 상기 희생금속산화막을 패터닝하기 위한 사진공정의 공정여유도가 증가한다.
상기 희생금속산화패턴 및 상기 희생비아보호막을 제거하는 것은 HF 용액을 사용하여 상기 희생금속산화패턴 및 상기 희생비아보호막을 함께 습식식각하는 것이 바람직하다. 특히, 상기 희생비아보호막을 HSQ와 같은 수소-규소화합물막으로 형성한 경우, HF 용액을 사용하여 상기 희생금속산화패턴 및 상기 희생비아보호막을 함께 습식식각하여 제거할 수 있다. 이에 따라, 상기 희생금속산화패턴 및 상기 희생비아보호막을 제거하는 공정을 단순화시킬 수 있다. 그러나, 상기 희생비아보호막을 MSQ와 같은 유기-규소화합물막 또는 유기폴리머로 형성한 경우, HF 용액을 사용하여 상기 희생비아보호막을 형성할 수 없을 수 있다. 이 경우, 상기 희생금속산화패턴을 HF용액을 사용하여 제거하고, 상기 희생비아보호막은 유기물 스트리퍼(organo stripper)를 사용하여 제거할 수 있다.
이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다.
도 1은 본 발명의 바람직한 실시예에 따른 이중다마신 금속배선을 형성하는 방법을 설명하기 위한 공정순서도이고, 도 2 내지 도 11은 도 1의 공정순서도에 따라 이중다마신 금속배선을 형성하는 방법을 설명하기 위한 단면도들이다.
도 1 및 도 2를 참조하면, 하부배선(53)을 갖는 반도체기판(51)을 준비한다(도 1의 단계 1). 상기 하부배선(53)은 다마신 공정을 사용하여 형성될 수 있다. 또한, 상기 하부배선(53)은 구리배선일 수 있으며, 확산방지막(도시하지 않음)이 상기 구리배선(53)의 측벽 및 하부에 형성되어 있을 수 있다.
한편, 상기 반도체기판(51) 내에는 트랜지스터(도시하지 않음) 또는 커패시터(도시하지 않음)와 같은 개별소자들이 형성되어 있을 수 있다.
상기 반도체기판(51) 상에 층간절연막(57)을 형성한다(도 1의 단계 3). 상기 층간절연막(57)을 형성하기 전에 식각저지막(55)을 형성할 수 있다. 상기 식각저지막(55)은 상기 하부배선(53)이 구리배선인 경우, 구리원자의 확산을 방지하는 역할을 할 수 있다. 또한, 후속 공정에서 상기 하부배선(53)의 식각손상을 방지하는 역할을 한다. 따라서, 상기 식각저지막(55)은 SiN, SiC 또는 SiCN과 같은 물질막을 포함하는 것이 바람직하다. 상기 식각저지막(55)은 유전율이 높으므로 가능한 최소두께로 형성하되, 식각저지막의 기능을 수행할 수 있는 두께로 형성한다. 따라서, 상기 식각저지막(55)은 500Å 내지 1000Å의 두께로 형성되는 것이 바람직하며, 600Å 내지 800Å의 두께로 형성되는 것이 더욱 바람직하다.
상기 층간절연막(57)은 배선들을 서로 분리시키는 역할을 한다. 반도체소자가 고집적화함에 따라 RC 지연을 감소시키기 위해 상기 층간절연막(57)은 저유전막으로 형성하는 것이 바람직하다. 한편, 상기 층간절연막(57)은 상기 식각저지막(55)에 대하여 식각선택비를 갖는 것이 바람직하다.
바람직하게는, 상기 층간절연막(57)은 FSG, PSG, USG, BPSG, PE-TEOS 막과 같은 SiO2 막 또는 SiOC 막으로 형성할 수 있으며, 이들중 적어도 두개의 막을 적층하여 형성할 수 있다. 또한, 상기 층간절연막(57)은 SiLK와 같은 저유전율을 갖는 유기폴리머로 형성할 수 있다.
상기 층간절연막(57) 상에 제1 포토레지스트막을 형성한다. 상기 제1 포토레지스트막을 노광 및 현상하여 상기 하부배선(53) 상부에 개구부(61a)를 갖는 제1 포토레지스트패턴(61)을 형성한다. 상기 제1 포토레지스트막을 형성하기 전에 하부반사방지막(bottom anti-reflective coating; BARC, 59)을 형성할 수 있다. 상기 BARC(59)는 유기물질로 형성하는 것이 바람직하다. 상기 개구부(61a)를 통해 상기 하부배선(53) 상부의 BARC(59)가 노출된다.
도 1 및 도 3을 참조하면, 상기 제1 포토레지스트 패턴(61)을 식각마스크로 사용하여 상기 BARC(59) 및 상기 층간절연막(57)을 차례로 식각하여 예비비아홀(63)을 형성한다(도 1의 단계 5). 상기 예비비아홀(63)을 통해 상기 식각저지막(55)이 노출될 수 있다.
상기 층간절연막(57)은 상기 식각저지막(55)에 대하여 식각선택비를 갖는 물질막으로 형성된다. 따라서, 상기 식각저지막(55)은 상기 하부배선(55) 상부에 남는다. 따라서, 상기 예비비아홀(63)을 형성하는 동안, 상기 하부배선(55)의 식각손상을 방지할 수 있다.
상기 층간절연막(57)이 SiO2 또는 SiOC 막으로 형성된 경우, 상기 예비비아홀(63)을 형성한 후, 상기 제1 포토레지스트 패턴(61) 및 상기 BARC(59)를 통상의 방법, 예컨대 애슁공정을 사용하여 제거한다. 상기 BARC(59)를 유기물질로 형성한 경우, 애슁공정을 사용하여 상기 제1 포토레지스트 패턴(61)과 상기 BARC(59)를 동시에 제거할 수 있다.
한편, 상기 층간절연막(57)이 SiLK와 같은 유기폴리머로 형성된 경우, 상기 예비비아홀(63)은 애슁공정을 사용하여 형성할 수 있다. 그러므로, 상기 제1 포토레지스트 패턴(61) 및 상기 BARC(59)는 상기 예비비아홀(63)을 형성하는 동안 제거될 수 있다.
도 1 및 도 4를 참조하면, 상기 예비비아홀(63)이 형성된 반도체기판 상에 희생비아보호막(sacrificial via protecting layer; 65)을 형성한다(도 1의 단계 7). 상기 희생비아보호막(65)은 상기 예비비아홀(63)을 채우고, 상기 층간절연막(57)의 상부면을 덮는다.
상기 희생비아보호막(65)은 상기 층간절연막의 건식식각률과 대체로(substantially) 동일한 건식식각률로 건식식각되고, 상기 저유전막에 대하여 상당히(significantly) 큰 습식식각 선택비를 갖는 SOD(spin on deposition) 막으로 형성하는 것이 바람직하다. 상기 SOD 막은 스핀 코팅(spin coating)에 의해 증착되는 막을 의미한다. 상기 SOD막은 HSQ와 같은 수소-규소화합물막(hydrogen siloxane), MSQ와 같은 유기-규소화합물막(organo siloxane) 또는 SOP(spin on polymer)막일 수 있다. 상기 SOD 막은 비아홀 매립 특성 및 평탄화특성이 우수하여 통상적으로 매립물질 또는 평탄화 물질로 사용된다.
한편, 후속 얼라인공정을 위하여 상기 SOD 막은 얼라인파장 영역, 즉 가시광선 영역에서 투명한 막으로 형성하는 것이 바람직하다.
바람직하게는, 상기 희생비아보호막(65)은 500Å 내지 3000Å의 두께로 형성한다.
상기 희생비아보호막(65) 상에 희생금속산화막(67)을 형성한다(도 1의 단계 9). 상기 희생비아보호막(65)이 상기 층간절연막(57) 상부면을 덮으므로, 상기 희생금속산화막(67)은 평탄하게 형성된다.
바람직하게는, 상기 희생금속산화막(67)은 Al2O3, InSnO, Ta2O5, La2O3 또는 HfO2 막으로 형성할 수 있으며, 이들 중 적어도 두개의 막을 적층하여 형성할 수 있다. 이에 더하여, 상기 희생금속산화막(67)은 200Å 내지 1000Å의 두께로 형성되는 것이 바람직하다. 상기 희생금속산화막(67)의 두께가 얇을 수록 사진 공정의 공정여유도가 증가한다. 그러나, 상기 희생금속산화막(67)은 후속공정에서 식각마스크로 사용되므로 적당한 두께를 갖도록 형성되어야 한다.
상기 희생금속산화막(67)은 물리기상증착(physical vapor deposition; PVD) 기술을 사용하여 형성할 수 있다. 즉, 산소원자를 함유하는 플라즈마 상태에서 금속 타겟(metal target)을 스퍼터링하여 상기 희생금속산화막(67)을 형성할 수 있다.
또한, 상기 희생금속산화막(67)은 통상적인 원자층증착기술(atomic layer deposition)을 사용하여 형성할 수 있으며, 화학기상증착(chemical vapor deposition) 기술을 사용하여 형성할 수 있다.
도 1 및 도 5를 참조하면, 상기 희생금속산화막(67) 상에 제2 포토레지스트막을 형성한다. 상기 제2 포토레지스트막을 노광 및 현상하여 상기 예비비아홀(63)의 상부를 가로지르는 개구부(73a)를 갖는 제2 포토레지스트 패턴(73)을 형성한다.
상기 제2 포토레지스트 패턴(73)은 ArF 용 레이저를 사용하여 형성하는 것이 바람직하다. 이에 따라, 해상도 및 DOF와 같은 사진 공정의 공정여유도를 증가시킬 수 있다.
한편, 상기 희생금속산화막(67)은 후속 얼라인 공정을 위하여 얼라인 파장영역 즉, 가시광선영역에서 투명한 막으로 형성하는 것이 바람직하다. 상기 희생금속산화막(67)이 투명하면, 상기 예비비아홀(63)에 상기 제2 포토레지스트 패턴(73)을 정렬시키기 위한 추가적인 공정을 필요로 하지 않는다.
상기 제2 포토레지스트막을 형성하기 전에 유기BARC(organic BARC; 71)를 형성할 수 있다. 특히, ArF 레이저를 사용하여 상기 제2 포토레지스트 패턴(73)을 형성하는 사진공정은 상기 유기BARC(71)를 필요로 한다. 상기 유기BARC(71)은 상기 희생금속산화막(67)의 반사율을 감소시켜, 상기 제2 포토레지스트 패턴(73)의 CD 및 CD 균일성을 조절할 수 있도록 한다.
이에 더하여, 상기 유기 BARC(71)를 형성하기 전에 캐핑층(69)을 더 형성할 수 있다. 상기 캐핑층(69)은 무기BARC(inorganic BARC)의 역할을 하여 상기 희생금속산화막(67)의 반사율을 더욱 감소시킨다.
바람직하게는, 상기 캐핑층(69)은 다결정실리콘(poly-Si), FSG, PSG, USG, PE-TEOS, SiOC, SiN, SiON, SiC 또는 SiCN막으로 형성할 수 있다. 한편, 상기 캐핑층(69)은 100Å 내지 500Å의 두께로 형성하는 것이 바람직하다.
상기 캐핑층(69) 및 상기 유기BARC(71)을 더 형성하므로써, 반사율을 감소시킬 수 있어 상기 제2 포토레지스트 패턴(73)을 형성하기 위한 사진공정의 공정여유도를 더욱 확보할 수 있다. 이에 따라, 상기 희생비아보호막(65)이 불투명하지 않아도 반사율을 감소시킬 수 있다.
도 1 및 도 6을 참조하면, 상기 제2 포토레지스트 패턴(73)을 식각마스크로 사용하여 상기 유기BARC(71), 상기 캐핑층(69) 및 상기 희생금속산화막(67)을 차례로 식각하여 캐핑층 패턴(69a) 및 희생금속산화패턴(67a)을 형성한다(도 1의 단계 11). 상기 캐핑층 패턴(69a) 및 희생금속산화패턴(67a)은 상기 예비비아홀(63)의 상부를 가로지르고, 상기 희생비아보호막(65)을 노출시키는 개구부를(67b) 갖는다.
상기 제2 포토레지스트 패턴(73)은 상기 유기BARC(71), 상기 캐핑층(69) 및 상기 희생금속산화막(67)을 식각하는 동안 식각마스크로 사용된다. 따라서, 식각내성이 약한 ArF 용 포토레지스트막을 채택하여 상기 제2 포토레지스트 패턴(73)을 형성하여도, 식각 공정여유도를 확보할 수 있다.
한편, 상기 캐핑층 패턴(69a) 및 상기 희생금속산화패턴(67a)이 형성된 후, 상기 제2 포토레지스트 패턴(73) 및 상기 유기BARC(71)를 애슁(ashing)과 같은 통상적인 방법을 사용하여 제거한다. 상기 제2 포토레지스트 패턴(73) 및 상기 유기BARC(71)는 트렌치를 형성한 후에 제거할 수도 있다.
도 1 및 도 7을 참조하면, 상기 캐핑층패턴(69a) 및 상기 희생금속산화패턴(67a)을 식각마스크로 사용하여 상기 노출된 희생비아보호막(65) 및 상기 층간절연막(57)을 식각하여 트렌치(75)를 형성한다(도 1의 단계 13). 상기 트렌치(75)는 상기 층간절연막(57) 내에 1500Å 내지 6000Å 정도의 깊이로 형성될 수 있다. 상기 트렌치(75)는 상기 희생비아보호막(65) 및 상기 층간절연막(57)을 건식식각하여 형성할 수 있다. 이때, 상기 건식식각은 상기 희생비아보호막(65)과 상기 층간절연막(57)의 식각률이 실질적으로 동일하거나, 상기 희생비아보호막(65)과 상기 층간절연막(57)의 식각비가 4:1 이하인 조건에서 수행되는 것이 바람직하다.
상기 희생금속산화패턴(67a)은 상기 층간절연막(57)에 대하여 일반적으로 높은 식각선택비를 갖는다. 따라서, 상기 트렌치(75)를 형성하는 동안, 상기 희생금속산화패턴(67a)은 완전히 제거되지 않고 식각마스크의 역할을 수행한다. 그러나, 상기 캐핑층패턴(69a)은 상기 층간절연막(57)과 유사한 식각율로 식각되므로, 상기 트렌치를 형성하는 동안 모두 제거된다.
한편, 상기 희생비아보호막(65)은 상기 층간절연막(57)의 건식식각율과 대체로 동일한 식각율로 건식식각되거나, 상기 층간절연막(57)에 비해 약간 빠른 식각율로 건식식각된다. 따라서, 상기 예비비아홀(63) 내의 상기 희생비아보호막(65)은 상기 층간절연막(57)과 같이 식각된다. 따라서, 상기 트렌치(75)를 형성하는 동안, 상기 희생비아보호막(65)의 일부는 상기 예비비아홀(63) 내부에 남는다. 그 결과, 상기 예비비아홀(63) 하부의 상기 식각저지막(55)은 식각이 방지되며, 이에 따라 상기 하부배선(51)의 식각손상이 방지된다.
도 1 및 도 8을 참조하면, 상기 트렌치(75)가 형성된 후, 상기 희생금속산화패턴(67a) 및 상기 희생비아보호막(65)을 제거한다. 상기 희생비아보호막(65)이 HSQ와 같은 수소-규소화합물막으로 형성된 경우, 상기 희생금속산화패턴(67a) 및 상기 희생비아보호막(65)을 HF 용액을 사용하여 동시에 습식식각하여 제거하는 것이 바람직하다.
한편, 상기 희생비아보호막(65)이 MSQ와 같은 유기-규소화합물막 또는 SOP로 형성된 경우, 상기 희생비아보호막(65)은 HF 용액을 사용하여 제거할 수 없을 수 있다. 이 경우, 상기 희생금속산화패턴(67a)은 HF 용액을 사용하여 습식식각하고, 상기 희생비아보호막(65)은 유기물 스트리퍼(organo stripper)를 사용하여 제거할 수 있다. 이때, 상기 희생비아보호막(65)의 종류에 따라 상기 유기물 스트리퍼에서 사용되는 에천트를 달리할 수 있다.
상기 희생비아보호막(65) 및 상기 희생금속산화패턴(67a)을 제거한 결과, 상기 층간절연막(57)의 상부면이 노출되고, 상기 예비비아홀을 통해 상기 식각저지막(55)이 노출된다.
도 1 및 도 9를 참조하면, 상기 노출된 식각저지막(55)을 제거하여 상기 하부배선(53)을 노출시키는 최종비아홀(63a)을 형성한다(도 1의 단계 15). 상기 식각저지막(63)은 상기 층간절연막(57)에 대하여 식각선택비가 큰 에천트(etchant)를 사용하여 식각한다.
한편, 상기 하부배선(53) 및 상기 식각저지막(55)은 상기 트렌치(75)를 형성하는 동안, 상기 희생비아보호막(65)에 의해 식각이 방지된다. 따라서, 상기 식각저지막(55)은 상기 층간절연막(57)에 비해 상대적으로 작은 두께를 갖는다. 따라서, 상기 트렌치(75) 및 상기 예비비아홀(63)의 프로파일(profile)의 손상을 방지하면서, 상기 식각저지막(55)을 식각할 수 있다.
도 1 및 도 10을 참조하면, 상기 최종비아홀(63a) 및 상기 트렌치(75)가 형성된 반도체기판 상에 통상적인 방법으로 도전막(81)을 형성한다(도 1의 단계 17). 상기 도전막(81)은 구리막일 수 있다. 한편, 상기 구리막을 형성하기 전에 콘포말한 확산방지막(barrier metal; 77) 및 씨드층(79)을 형성할 수 있다. 상기 구리막은 전해도금 또는 무전해도금 기술을 사용하여 형성할 수 있으며, 상기 트렌치(75) 및 상기 최종비아홀(63a) 내부의 빈 공간을 채운다.
도 1 및 도 11을 참조하면, 상기 층간절연막(57)의 상부면이 노출될 때 까지 상기 도전막(81), 상기 씨드층(79) 및 상기 확산방지막(77)을 화학기계적연마(CMP) 기술을 사용하여 평탄화시킨다(도 1의 단계 19). 그 결과, 상기 트렌치(75)내에 한정되는 확산방지막(77a), 씨드층(79a) 및 금속배선(81a)이 형성되고, 상기 최종비아홀(63a)을 채우는 비아플러그가 형성된다. 상기 금속배선(81a)은 상기 최종비아홀(63a) 내에 형성된 비아플러그를 통해 상기 하부배선(53)에 전기적으로 접속된다.
본 발명의 실시예에 따르면, 상기 희생금속산화막(67)을 채택하여, 트렌치를 형성하기 위한 사진 공정의 공정여유도를 확보할 수 있으며, 상기 희생금속산화패턴(67a)을 식각마스크로 사용하여 상기 트렌치(75)를 형성하므로 식각공정의 공정여유도를 확보할 수 있다. 이에 더하여, 상기 희생금속산화막(67) 상에 상기 캐핑층(69)을 더 형성하여 하부막들에 의한 반사율을 낮출 수 있다. 이에따라, CD 및 CD 균일성을 조절할 수 있어 사진 공정의 공정여유도를 더욱 증가시킬 수 있다.
한편, 상기 희생금속산화막(67)은 금속과 달리 광투과성이 크므로, 상기 예비비아홀 상부에 제2 포토레지스트 패턴(73)을 정렬시키기 위한 추가적인 공정을 필요로 하지 않는다.
도 1은 본 발명의 바람직한 실시예에 따른 이중다마신 금속배선을 형성하는 방법을 설명하기 위한 공정순서도이다.
도 2 내지 도 11은 본 발명의 바람직한 실시예에 따른 이중다마신 금속배선을 형성하는 방법을 설명하기 위한 단면도들이다.

Claims (20)

  1. 반도체기판을 준비하고,
    상기 반도체기판 상에 층간절연막을 형성하고,
    상기 층간절연막을 패터닝하여 예비비아홀을 형성하고,
    상기 예비비아홀이 형성된 반도체기판 상에 상기 예비비아홀을 채우고 상기 층간절연막 상부면을 덮는 희생비아보호막을 형성하고,
    상기 희생비아보호막 상에 희생금속산화막을 형성하고,
    상기 희생금속산화막을 패터닝하여 상기 예비비아홀의 상부를 가로지르고, 상기 희생비아보호막을 노출시키는 개구부를 갖는 희생금속산화패턴을 형성하고,
    상기 희생금속산화패턴을 식각마스크로 사용하여 상기 희생비아보호막 및 상기 층간절연막을 식각하여 상기 층간절연막 내에 위치하는 트렌치를 형성하는 것을 포함하는 이중다마신 금속배선 형성방법.
  2. 제 1 항에 있어서,
    상기 희생금속산화막은 가시광선영역에서 투명한 것을 특징으로 이중다마신 금속배선 형성방법.
  3. 제 2 항에 있어서,
    상기 희생금속산화막은 Al2O3, InSnO, Ta2O5, La2O 3 및 HfO2 로 이루어진 일군으로부터 선택된 적어도 하나의 금속산화막으로 형성되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  4. 제 3 항에 있어서,
    상기 희생금속산화막은 약 200Å 내지 약 1000Å의 두께로 형성되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  5. 제 4 항에 있어서,
    상기 층간절연막을 형성하기 전에, 식각저지막을 형성하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  6. 제 5 항에 있어서,
    상기 희생금속산화막을 형성한 후, 상기 희생금속산화막 상에 유기 BARC를 형성하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  7. 제 6 항에 있어서,
    상기 트렌치를 형성하기 전, 상기 유기 BARC를 제거하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  8. 제 7 항에 있어서,
    상기 유기 BARC는 애슁공정을 사용하여 제거되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  9. 제 6 항에 있어서,
    상기 유기 BARC를 형성하기 전, 상기 희생금속산화막 상에 캐핑층을 형성하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  10. 제 9 항에 있어서,
    상기 캐핑층은 poly-Si, FSG, PSG, USG, PE-TEOS, SiOC, SiN, SiON, SiC 및 SiCN막으로 이루어진 일군으로부터 선택된 하나의 물질막으로 형성되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  11. 반도체기판을 준비하고,
    상기 반도체기판 상에 층간절연막을 형성하고,
    상기 층간절연막을 패터닝하여 예비비아홀을 형성하고,
    상기 예비비아홀이 형성된 반도체기판 상에 상기 예비비아홀을 채우고 상기 층간절연막 상부면을 덮는 희생비아보호막을 형성하고,
    상기 희생비아보호막 상에 희생금속산화막을 형성하고,
    상기 희생금속산화막 상에 포토레지스트막을 형성하고,
    상기 포토레지스트막을 ArF 레이저를 사용하여 노광하고 현상하여 상기 예비비아홀의 상부를 가로지르는 개구부를 갖는 포토레지스트 패턴을 형성하고,
    상기 포토레지스트 패턴을 식각마스크로 사용하여 상기 희생금속산화막을 식각하여 상기 예비비아홀의 상부를 가로지르고, 상기 희생비아보호막을 노출시키는 개구부를 갖는 희생금속산화패턴을 형성하고,
    상기 희생금속산화패턴을 식각마스크로 사용하여 상기 희생비아보호막 및 상기 층간절연막을 식각하여 상기 층간절연막 내에 위치하는 트렌치를 형성하는 것을 포함하는 이중다마신 금속배선 형성방법.
  12. 제 11 항에 있어서,
    상기 희생금속산화막은 가시광선영역에서 투명한 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  13. 제 12 항에 있어서,
    상기 희생금속산화막은 Al2O3, InSnO, Ta2O5, La2O 3 및 HfO2 로 이루어진 일군으로부터 선택된 적어도 하나의 금속산화막으로 형성되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  14. 제 13 항에 있어서,
    상기 희생금속산화막은 약 200Å 내지 약 1000Å의 두께로 형성되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  15. 제 14 항에 있어서,
    상기 층간절연막을 형성하기 전에, 식각저지막을 형성하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  16. 제 15 항에 있어서,
    상기 희생금속산화막을 형성한 후, 상기 희생금속산화막 상에 유기 BARC를 형성하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  17. 제 16 항에 있어서,
    상기 트렌치를 형성하기 전, 상기 유기 BARC를 제거하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  18. 제 17 항에 있어서,
    상기 유기 BARC는 애슁공정을 사용하여 제거되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
  19. 제 16 항에 있어서,
    상기 유기 BARC를 형성하기 전, 상기 희생금속산화막 상에 캐핑층을 형성하는 것을 더 포함하는 이중다마신 금속배선 형성방법.
  20. 제 19 항에 있어서,
    상기 캐핑층은 poly-Si, FSG, PSG, USG, PE-TEOS, SiOC, SiN, SiON, SiC 및 SiCN막으로 이루어진 일군으로부터 선택된 하나의 물질막으로 형성되는 것을 특징으로 하는 이중다마신 금속배선 형성방법.
KR1020030087351A 2003-12-03 2003-12-03 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법 KR100583957B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020030087351A KR100583957B1 (ko) 2003-12-03 2003-12-03 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
US10/939,930 US7064059B2 (en) 2003-12-03 2004-09-13 Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
EP04027944A EP1538665B1 (en) 2003-12-03 2004-11-25 Method of forming a dual damascene metal interconnection
DE602004004483T DE602004004483T2 (de) 2003-12-03 2004-11-25 Verfahren zur Bildung einer Doppeldamaszener-Metallzwischenverbindung
CNB2004101001898A CN1306590C (zh) 2003-12-03 2004-12-03 采用牺牲金属氧化物层形成双镶嵌金属互连的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030087351A KR100583957B1 (ko) 2003-12-03 2003-12-03 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법

Publications (2)

Publication Number Publication Date
KR20050054064A true KR20050054064A (ko) 2005-06-10
KR100583957B1 KR100583957B1 (ko) 2006-05-26

Family

ID=34464802

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030087351A KR100583957B1 (ko) 2003-12-03 2003-12-03 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법

Country Status (5)

Country Link
US (1) US7064059B2 (ko)
EP (1) EP1538665B1 (ko)
KR (1) KR100583957B1 (ko)
CN (1) CN1306590C (ko)
DE (1) DE602004004483T2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017156388A1 (en) * 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100745986B1 (ko) * 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
KR100637965B1 (ko) * 2004-12-22 2006-10-23 동부일렉트로닉스 주식회사 Fsg 절연막을 이용한 반도체 소자의 금속 배선 형성 방법
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
JP2008547194A (ja) * 2005-06-15 2008-12-25 ダウ・コーニング・コーポレイション 水素シルセスキオキサンを硬化させていき、ナノスケールのトレンチ中において密にさせる方法
US20060286792A1 (en) * 2005-06-20 2006-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US7547598B2 (en) * 2006-01-09 2009-06-16 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US7300868B2 (en) * 2006-03-30 2007-11-27 Sony Corporation Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US7456099B2 (en) * 2006-05-25 2008-11-25 International Business Machines Corporation Method of forming a structure for reducing lateral fringe capacitance in semiconductor devices
US20070290347A1 (en) * 2006-06-19 2007-12-20 Texas Instruments Incorporated Semiconductive device having resist poison aluminum oxide barrier and method of manufacture
CN101202244B (zh) * 2006-12-15 2010-06-09 中芯国际集成电路制造(上海)有限公司 双镶嵌结构形成过程中光刻胶图形的去除方法
JP5268084B2 (ja) * 2006-12-22 2013-08-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7906426B2 (en) * 2007-04-23 2011-03-15 Globalfoundries Singapore Pte. Ltd. Method of controlled low-k via etch for Cu interconnections
US7960290B2 (en) * 2007-05-02 2011-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
KR100843716B1 (ko) * 2007-05-18 2008-07-04 삼성전자주식회사 자기 정렬된 콘택플러그를 갖는 반도체소자의 제조방법 및관련된 소자
KR100871768B1 (ko) * 2007-05-18 2008-12-05 주식회사 동부하이텍 반도체 소자 및 boac/coa 제조 방법
JP2009164175A (ja) * 2007-12-28 2009-07-23 Toshiba Corp 半導体装置の製造方法
US8293634B2 (en) * 2008-08-07 2012-10-23 International Business Machines Corporation Structures and methods for improving solder bump connections in semiconductor devices
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
CN101794071A (zh) * 2008-09-22 2010-08-04 台湾积体电路制造股份有限公司 半导体装置的制造方法
JP5407340B2 (ja) * 2009-01-07 2014-02-05 富士通セミコンダクター株式会社 配線の形成方法
US8669189B2 (en) * 2009-06-25 2014-03-11 Lam Research Ag Method for treating a semiconductor wafer
CN101996927B (zh) * 2009-08-14 2012-10-03 中芯国际集成电路制造(上海)有限公司 多层互连结构及其形成方法
CN102386088B (zh) * 2010-09-03 2014-06-25 中芯国际集成电路制造(上海)有限公司 用于去除半导体器件结构上的光致抗蚀剂层的方法
CN102420169A (zh) * 2011-05-13 2012-04-18 上海华力微电子有限公司 通孔填充牺牲材料的超厚顶层金属双大马士革工艺
CN102983098A (zh) * 2011-09-07 2013-03-20 中国科学院微电子研究所 后栅工艺中电极和连线的制造方法
CN102437101B (zh) * 2011-09-09 2015-06-24 上海华力微电子有限公司 一种改进的硬质掩膜与多孔低介电常数值材料的集成方法
KR20140089650A (ko) 2013-01-03 2014-07-16 삼성디스플레이 주식회사 액정 표시 장치 및 그 제조 방법
KR102145825B1 (ko) 2014-07-28 2020-08-19 삼성전자 주식회사 반도체 소자 및 그 제조 방법
KR102201092B1 (ko) * 2014-09-16 2021-01-11 삼성전자주식회사 반도체 장치 제조 방법
CN106887388A (zh) * 2017-02-14 2017-06-23 上海华虹宏力半导体制造有限公司 金属结构光刻蚀刻方法以及金属结构光刻蚀刻结构
CN108878363B (zh) * 2017-05-12 2021-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109755126B (zh) * 2017-11-07 2021-02-12 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
JP2021068718A (ja) * 2018-02-15 2021-04-30 東京エレクトロン株式会社 基板処理システム、基板処理装置及び基板処理方法
US11171052B2 (en) 2019-04-29 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby
US11024533B2 (en) * 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures using via holes filled with dielectric film
US11069610B2 (en) 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4053631B2 (ja) * 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6461955B1 (en) * 1999-04-29 2002-10-08 Texas Instruments Incorporated Yield improvement of dual damascene fabrication through oxide filling
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
KR100327595B1 (ko) * 1999-12-30 2002-03-15 박종섭 금속 배선을 포함하는 반도체소자 및 그 제조방법
JP3346475B2 (ja) * 2000-01-18 2002-11-18 日本電気株式会社 半導体集積回路の製造方法、半導体集積回路
JP2001230317A (ja) 2000-02-15 2001-08-24 Nec Corp 多層配線構造の形成方法及び半導体装置の多層配線構造
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6323123B1 (en) * 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
JP2002299441A (ja) * 2001-03-30 2002-10-11 Jsr Corp デュアルダマシン構造の形成方法
US6861347B2 (en) 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
KR20030096730A (ko) * 2002-06-17 2003-12-31 삼성전자주식회사 반도체 장치의 듀얼다마신 배선형성방법
JP4050631B2 (ja) * 2003-02-21 2008-02-20 株式会社ルネサステクノロジ 電子デバイスの製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017156388A1 (en) * 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US10649328B2 (en) 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US11347145B2 (en) 2016-03-11 2022-05-31 Inpria Corporation Pre-patterned lithography templates
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps

Also Published As

Publication number Publication date
CN1306590C (zh) 2007-03-21
US7064059B2 (en) 2006-06-20
DE602004004483T2 (de) 2007-05-24
US20050124149A1 (en) 2005-06-09
CN1624897A (zh) 2005-06-08
EP1538665B1 (en) 2007-01-24
EP1538665A1 (en) 2005-06-08
DE602004004483D1 (de) 2007-03-15
KR100583957B1 (ko) 2006-05-26

Similar Documents

Publication Publication Date Title
KR100583957B1 (ko) 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
US7176126B2 (en) Method of fabricating dual damascene interconnection
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US6924228B2 (en) Method of forming a via contact structure using a dual damascene technique
US6294315B2 (en) Method of forming a metal wiring by a dual damascene process using a photosensitive polymer
US6309955B1 (en) Method for using a CVD organic barc as a hard mask during via etch
US6268283B1 (en) Method for forming dual damascene structure
US7470616B1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
US6573572B2 (en) Damascene structure and method of making
JP2003318258A (ja) 低誘電率絶縁膜を利用したデュアルダマシン配線の形成方法
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
JP2003100724A (ja) 誘電体エッチング用アルミニウムハードマスク
US6265307B1 (en) Fabrication method for a dual damascene structure
US6767827B1 (en) Method for forming dual inlaid structures for IC interconnections
TW202038304A (zh) 一種形成多層遮罩之方法
US6821896B1 (en) Method to eliminate via poison effect
CN109309042B (zh) 半导体器件及其形成方法
US6383919B1 (en) Method of making a dual damascene structure without middle stop layer
KR20020017816A (ko) 듀얼 다마신 배선의 형성 방법
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
KR100613390B1 (ko) 금속 배선된 반도체 소자 및 반도체 소자 금속 배선 형성방법
TWI744897B (zh) 形成半導體裝置結構的方法
JP2003282704A (ja) デュアルダマシンによる半導体装置の製造方法
TWI509740B (zh) 雙鑲嵌製程

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130430

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160429

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170427

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180430

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 14