CN101794071A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN101794071A
CN101794071A CN200910173268A CN200910173268A CN101794071A CN 101794071 A CN101794071 A CN 101794071A CN 200910173268 A CN200910173268 A CN 200910173268A CN 200910173268 A CN200910173268 A CN 200910173268A CN 101794071 A CN101794071 A CN 101794071A
Authority
CN
China
Prior art keywords
layer
semiconductor device
manufacture method
base material
photoresist layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200910173268A
Other languages
English (en)
Inventor
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101794071A publication Critical patent/CN101794071A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/949Energy beam treating radiation resist on semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明提供一种半导体装置的制造方法,包含形成一牺牲层于一基材上;形成一图案化光致抗蚀剂层于该牺牲层上;于该基材上进行离子注入;施予一硫酸(H2SO4)及过氧化氢(H2O2)溶液以移除该图案化光致抗蚀剂层;对该基材进行一清洁工艺;以及进行一湿式蚀刻工艺以移除该牺牲层。本发明可具有各种优点。可有效移除光致抗蚀剂并降低对基材的伤害,可实质上减少或避免在光致抗蚀剂移除之后的基材凹陷。通过以牺牲层作为保护层,可减少光致抗蚀剂残余物。任何在牺牲层上的缺陷及残余物可被底切(undercut)或溶解至湿式化学品中,残余物及缺陷因此可从晶片表面离去(lifted off)。此外,牺牲层可增进光致抗蚀剂黏着性。

Description

半导体装置的制造方法
技术领域
本发明涉及半导体装置的制造方法,且特别涉及一种光致抗蚀剂残余物的移除方法。
背景技术
于半导体工业的先进技术节点中,使用金属及高介电常数介电材料来形成场效应晶体管的栅极堆叠。当形成金属栅极场效应晶体管时,使用一个或多个注入工艺来形成场效应晶体管的掺杂元件。离子注入工艺中,使用图案化光致抗蚀剂层作为掩模。随后,移除图案化光致抗蚀剂层。然而,当使用干式剥离工艺来移除图案化光致抗蚀剂层时,会使基材凹陷。当使用湿式剥离工艺来移除图案化光致抗蚀剂层时,则无法完全移除光致抗蚀剂残余物。
发明内容
为了解决现有技术存在的上述问题,本发明提供一种半导体装置的制造方法,包括:形成一牺牲层于一基材上;形成一图案化光致抗蚀剂层于该牺牲层上;对该基材进行离子注入;施予一第一湿式蚀刻溶液以移除该图案化光致抗蚀剂层;以及施予一第二湿式蚀刻工艺以移除该牺牲层。
本发明也提供一种半导体装置的制造方法,包括:形成一牺牲层于一基材上;形成一图案化光致抗蚀剂层于该牺牲层上;对该基材进行离子注入;施予一化学溶液以移除该图案化光致抗蚀剂层;以及施予一酸性溶液以移除该牺牲层。
本发明还提供一种半导体装置的制造方法,包括:形成一第一金属层于一基材上;形成一氧化镧层于该金属层上;形成一图案化光致抗蚀剂层于该氧化镧层上;对该基材进行一工艺;施予一硫酸及过氧化氢溶液以移除该图案化光致抗蚀剂层;以及施予一盐酸溶液以移除该氧化镧层。
本发明可具有各种优点。在一实施例中,所述的湿式蚀刻方法可有效移除光致抗蚀剂并降低对基材的伤害,可实质上减少或避免在光致抗蚀剂移除之后的基材凹陷。通过以牺牲层作为保护层,可减少光致抗蚀剂残余物。当移除牺牲层时,光致抗蚀剂残余物也经过Caros/清洁溶液的清洁而被移除。牺牲层具有高蚀刻速率,以使其能被湿式蚀刻移除。任何在牺牲层上的缺陷及残余物可被底切(undercut)或溶解至湿式化学品中,残余物及缺陷因此可从晶片表面离去(lifted off)。此外,牺牲层可增进光致抗蚀剂黏着性。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合附图,作详细说明如下。
附图说明
图1为依照本发明一实施例的半导体装置的制造方法的流程图。
图2~图6为依照本发明一实施例的制造具有金属栅极堆叠的半导体结构的方法于各种制造阶段的剖面图。
上述附图中的附图标记说明如下:
210~基材  212~高介电常数介电材料层
214~金属层  215~牺牲层
216~图案化光致抗蚀剂层
具体实施方式
本发明接下来将会提供许多不同的实施例以实施本发明中不同的特征。各特定实施例中的组成及配置将会在以下作描述以简化本发明。这些为实施例并非用于限定本发明。此外,一第一元件形成于一第二元件“上方”、“之上”、“之下”或“上”可包含实施例中的该第一元件与第二元件直接接触,或也可包含该第一元件与第二元件之间更有其他额外元件使该第一元件与第二元件无直接接触。各种元件可能以任意不同比例显示以使附图清晰简洁。
图1显示为依照本发明一实施例的制造具有金属栅极构造的半导体装置的方法100的流程图。图2至图6为本发明一实施例的半导体结构200于各种制造阶段时的剖面图。半导体装置的制造方法100在此将参照图1至图6来描述。
参见图1及图2,方法100起始于步骤102,其为提供半导体基材210。半导体基材210包含硅。或者,基材210包含锗、锗化硅、或其他合适半导体材料,例如钻石、碳化硅或砷化镓。基材210还可包含额外的元件和/或材料层,例如形成于基材中的各种隔离元件。基材210可包含各种p型掺杂区域和/或n型掺杂区域结构,并相互连接以形成各种装置及功能性元件。所有的掺杂元件都可由适当的工艺形成,例如在各种步骤及技术中进行离子注入。基材210可包含其他元件,例如浅沟槽隔离(STI)。
基材210可进一步包含各种材料层,例如金属栅极堆叠材料层。可在半导体基材210上形成高介电常数介电材料层212。高介电常数介电材料层212可由合适的工艺形成,例如原子层沉积(ALD)。其他用以形成高介电常数介电层的方法包含金属有机化学气相沉积(MOCVD)、物理气相沉积(PVD)、UV-臭氧氧化及分子束外延(molecular beam epitaxy;MBE)。在一实施例中,高介电常数介电材料层包含氧化铪。或者,高介电常数介电材料层包含金属氮化物、金属硅化物或其他金属氧化物。
金属层214为形成于高介电常数介电材料层上。在一实施例中,金属层包含氮化钛(TiN)。或者,金属层包含氮化钽(TaN)、铜(Cu)、硅化钨(WSi)、钽、氮化钽、氧化铝(AlOx)、铝、钨、铪、镧或其他合适金属。金属层可由物理气相沉积(PVD)、化学气相沉积(CVD)或其他合适方法形成,例如原子层沉积(ALD)。
界面层(IL)可位于半导体基材210及高介电常数介电材料层之间。界面层可包含薄氧化硅层,并在形成高介电常数介电材料层之前先形成于硅基材210上。薄氧化硅层可由原子层沉积(ALD)或热氧化法形成。
可在高介电常数介电材料层上进一步形成盖层。在一实施例中,盖层包含氧化镧(LaO)。在另一实施例中,盖层包含氧化铝(Al2O3)。或者,盖层也可包含其他合适材料。
参见图1及图2,步骤104为在基材上形成牺牲层215,如图3所示。牺牲层相对于基材具有较高的蚀刻选择性,以使牺牲层可由特定的化学品移除并且不会伤害到基材。牺牲层215包含氧化镧(LaO)。或者,牺牲层包含择自氧化硅、氮氧化硅、氧化铪、氧化铝及氧化钨的氧化物。牺牲层的厚度约小于
Figure G2009101732684D00031
在一实施例中,牺牲层的厚度约为
Figure G2009101732684D00032
牺牲层可由物理气相沉积、化学气相沉积或其他合适方法形成。
参见图1及图3,继续进行步骤106,其为在基材210上形成图案化光致抗蚀剂层216。图案化光致抗蚀剂层216可用于作为掩模以图案化包含第一、第二及第三层的三明治结构。特别的是,图案化光致抗蚀剂层216为形成在第三层上,如图3所示。图案化光致抗蚀剂层是由光学光刻技术所形成。标准光学光刻工艺可包含多个工艺,例如光致抗蚀剂涂布、软烘烤、掩模对准、曝光、后曝光烘烤、光致抗蚀剂显影及硬烘烤。当施予显影溶液至已曝光的光致抗蚀剂层时,位于已曝光的光致抗蚀剂区域底下的牺牲层也会被部分或完全移除(对正光致抗蚀剂而言)。
光刻技术可使用氟化氪(KrF)准分子光激光、氟化氩(ArF)准分子激光、氟化氪(KrF)浸润式光刻、超紫外光(EUV)或电子束写入(E-beam writing)来进行。也可使用其他适当方法进行或替换光学光刻曝光工艺,例如无掩模光学光刻、离子束写入及分子拓印。
参见图1及图4,方法100可包含在图案化光致抗蚀剂层形成后,进行额外的湿式蚀刻步骤。在此步骤中,使用图案化光致抗蚀剂层作为光致抗蚀剂以图案化牺牲层。在一实施例中,使用二氧化碳水(CO2 water)来移除图案化光致抗蚀剂层开口内的牺牲层。或者,省略额外的湿式蚀刻步骤,以使图案化光致抗蚀剂层开口内的牺牲层继续存在。
仍参见图1及图4,接着进行步骤108,其为对基材进行离子注入工艺。图案化光致抗蚀剂层具有作为注入掩模的功能。在一实施例中,离子注入工艺用于形成源极/及漏极元件,例如轻掺杂漏极(LDD)元件。在此情况下,使用金属层及高介电常数介电材料层来形成金属栅极堆叠。在此步骤中,本方法也可包含另一制造步骤,例如蚀刻工艺,其为使用图案化光致抗蚀剂层作为工艺掩模(processing mask)。
参见图1及图5,接着进行步骤110,其对基材为施予Caros溶液以移除图案化光致抗蚀剂层,该Caros溶液包含硫酸及过氧化氢。硫酸及过氧化氢于溶液中的比例约为1∶1至100∶1,且于工艺中的溶液温度约介于50℃至180℃之间。或者,图案化光致抗蚀剂层可由择自臭氧水、硫酸、硫酸及臭氧、硫酸及过氧化氢、N-甲基砒喀烷酮(N-Methyl-2-Pyrrolidone;NMP)、环己醇、环戊醇、单甲基醚丙二醇(PGME)、丙二醇单甲基醚酯(PGMEA)的化学溶液移除。
参见图1及图5,接着可继续进行步骤112,其为进行化学工艺以清洁有机残余物或其他经移除图案化光致抗蚀剂层所剩的残余物。清洁材料能够清除有机残余物。例如,清洁材料包含溶剂、界面活性剂或聚合物成分。
参见图1及图6,接着继续进行步骤114,其为对半导体基材进行湿式蚀刻工艺,以移除牺牲层215。在一实施例中,湿式蚀刻工艺使用盐酸(HCl)。例如,湿式蚀刻工艺包含盐酸及水。盐酸/水的比例约为在1∶1至1∶1000之间。盐酸溶液的处理温度约在20℃至80℃之间,其处理时间约为5秒至5分钟。在另一实施例中,湿式蚀刻溶液包含氟化氢(HF)、氢氧化铵(NH4OH)、氟化铵(NH4F)、水(H2O)、过氧化氢(H2O2)、硝酸(HNO3)、醋酸(CH3COOH)、盐酸(HCl)、羧酸(carboxylic acid)或界面活性剂。
在湿式蚀刻工艺以移除牺牲层之后,接着可进行额外的清洁工艺。清洁工艺能够移除有机残余物。例如,清洁材料包含溶剂、化学品、界面活性剂或聚合物成分。
在此所述的方法可具有各种优点。在一实施例中,所施用的离子注入实质上会与光致抗蚀剂聚合基质(photoresist polymeric matrix)交联(crosslink),并使其难以被移除。在此所述的湿式蚀刻方法可有效移除光致抗蚀剂并降低对基材的伤害,可实质上减少或避免在光致抗蚀剂移除之后的基材凹陷。通过以牺牲层作为保护层,可减少光致抗蚀剂残余物。当移除牺牲层时,光致抗蚀剂残余物也经过Caros/清洁溶液的清洁而被移除。牺牲层具有高蚀刻速率,以使其能被湿式蚀刻移除。任何在牺牲层上的缺陷及残余物可被底切(undercut)或溶解至湿式化学品中,残余物及缺陷因此可从晶片表面离去(lifted off)。此外,牺牲层可增进光致抗蚀剂黏着性。
虽然未显示,本方法也具有其他工艺步骤以形成各种掺杂区域(例如源极及漏极区)及装置元件(例如多层内连线)。在一实施例中,基材或许也可包含由前述方法图案化的其他材料层。在另一实施例中,可对基材进行额外的图案化步骤以图案化金属栅极堆叠。在另一实施例中,源极及漏极元件为在栅极堆叠形成之后形成。栅极间隔物为形成在金属栅极堆叠的侧壁。接着,源极及漏极区沿着间隔物的外侧边缘形成。栅极间隔物可具有多层结构及可包含氧化硅、氮化硅、氮氧化硅或其他介电材料。无论n型或p型掺杂的源极及漏极区及轻掺杂源极/漏极区为经由传统掺杂工艺来形成,例如离子注入。使用n型杂质以形成关于包含磷、砷和/或其他材料的掺杂区域。p型杂质可包含硼、铟和/或其他材料。
此外,还可形成多层内连线。多层内连线包含垂直内连线、例如传统通孔或接触点,及水平内连线,例如金属线。各种内连线元件可使用各种导电材料,例如铜、钨及硅化物。在一实施例中,可使用镶嵌工艺以形成关于铜的多层内连线结构。在另一实施例中,可使用钨在接触孔中形成接触插塞。
半导体基材还可包含额外的隔离元件,以隔离各个其他元件。隔离元件可包含不同的结构及可由各种不同的技术形成。例如,隔离元件可包含浅沟槽隔离(STI)元件。浅沟槽隔离的形成可包含在基材中蚀刻出沟槽,并以例如氧化硅、氮化硅或氮氧化硅的介电材料填满沟槽。在一实施例中,可使用连续的工艺来形成浅沟槽隔离结构,例如生长一垫氧化层;形成低压化学气相沉积(LPCVD)氮化物层;使用光致抗蚀剂及掩模图案化浅沟槽隔离结构;在基材中蚀刻一沟槽;选择性地生长热氧化物沟槽内衬以改善沟槽界面;以化学气相沉积(CVD)氧化物填满沟槽;使用化学机械研磨(CMP)作回蚀刻;及将氮化物剥离(nitride stripping)浅沟槽隔离结构。
本发明并不仅限于应用于包含MOS晶体管的半导体结构,且可延伸至其他具有金属栅极堆叠的集成电路。例如,半导体结构200可包含动态随机存取存储器(DRAM)、单电子晶体管(SET)和/或其他微电子装置(在此可通称为微电子装置)。当然,本发明也可用于或准备适用于其他型态的晶体管,包含单栅极晶体管(single-gate transistor)、多栅极晶体管(multiple-gatestransistor)、应变半导体基材、相异的半导体装置(hetero-semiconductordevice);及可应用于许多不同方面,包含感测器、存储器、逻辑元件或其他类似物。
虽然本发明已详述数个较佳实施例揭示如上,然其并非用以限定本发明,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰。在一实施例中,当牺牲层为氧化镧时,湿式蚀刻化学品可为盐酸或酸性材料,酸性材料可为TARC或酸性聚合物或含酸性分子的聚合物。在另一实施例中,如在形成图案化光致抗蚀剂后,需进行离子注入工艺,则在图案化光致抗蚀剂开口内的牺牲层的移除会较离子注入工艺先进行。因为牺牲材料已经移除,因此牺牲材料将不会对基材造成影响。也就是说,离子注入的轰击不会使任何牺牲层的物质进入基材中。
在一实施例中,为使用本方法来形成一个或多个金属氧化物半导体场效应晶体管(MOSFETs)。在另一实施例中,为使用本方法来在前栅极工艺中形成金属栅极堆叠,其中金属栅极堆叠由本发明形成,并保留至最终结构中。在另一实施例中,基材可具有外延层,位于巨块半导体(bulk semiconductor)上。再者,外延层可包含不同于巨块半导体的半导体材料,例如巨块硅上覆锗化硅或由包含选择性外延成长(SEG)工艺所形成巨块锗化硅上覆硅。此外,基材可包含绝缘层上覆硅结构,例如埋入介电层(buried dielectric layer)。
因此,本发明提供一种半导体装置的制造方法。此方法包含在基材上形成一牺牲层;形成一图案化光致抗蚀剂层于牺牲层上;对基材进行离子注入;施予硫酸及过氧化氢以移除图案化光致抗蚀剂层;或对基材进行一清洁工艺:及进行一湿式蚀刻工艺以移除牺牲层。
在本发明的一实施例中,牺牲层包含氧化镧。湿式蚀刻工艺包含具有盐酸或羧酸(carboxylic acid)的蚀刻剂。在另一实施例中,牺牲层包含氧化物材料。此氧化物材料包含择自下列材料所组成的群:氧化硅、氮氧化硅、氧化铪、氧化铝及氧化钨。湿式蚀刻工艺包含一蚀刻化学品,该蚀刻化学品可包含择自下列蚀刻化学品所组成的群:氟化氢(HF)、氢氧化铵(NH4OH)、氟化铵(NH4F)、水(H2O)、过氧化氢(H2O2)、硝酸(HNO3)、醋酸(CH3COOH)、盐酸(HCl)、醋酸及界面活性剂。本方法还可包含在进行离子注入之前,对图案化光致抗蚀剂层施予清洁材料。基材可包含高介电常数介电材料,及位于在高介电常数介电材料上的金属层。金属层可包含择自下列金属所组成的群:钨、硅化钨、铝、铜、氧化铝(AlOx)、铪、镧、钛、氮化钛、钽及氮化钽。在一实施例中,图案化光致抗蚀剂层的形成包含在基材上旋转涂布光致抗蚀剂溶液;曝光光致抗蚀剂层;显影光致抗蚀剂层,形成图案化光致抗蚀剂层。光致抗蚀剂层的显影可额外图案化牺牲层。本方法还包含施予CO2水以图案化牺牲层。
虽然本发明已以数个较佳实施例揭示如上,然其并非用以限定本发明,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (15)

1.一种半导体装置的制造方法,包括:
形成一牺牲层于一基材上;
形成一图案化光致抗蚀剂层于该牺牲层上;
对该基材进行离子注入;
施予一第一湿式蚀刻溶液以移除该图案化光致抗蚀剂层;以及
施予一第二湿式蚀刻工艺以移除该牺牲层。
2.如权利要求1所述的半导体装置的制造方法,其中该第一湿式蚀刻溶液包含一具有臭氧、硫酸及过氧化氢或硫酸及臭氧的蚀刻剂。
3.如权利要求1所述的半导体装置的制造方法,该牺牲层包含一氧化物材料。
4.如权利要求3所述的半导体装置的制造方法,其中该氧化物材料择自下列所组成的群:氧化镧、氧化硅、氮氧化硅、氧化铪、氧化铝及氧化钨。
5.如权利要求1所述的半导体装置的制造方法,其中该第二湿式蚀刻工艺包含一蚀刻化学品,该蚀刻化学品择自下列所组成的群:氟化氢、氢氧化铵、氟化铵、水、过氧化氢、硝酸、醋酸、盐酸、羧酸及界面活性剂。
6.如权利要求1所述的半导体装置的制造方法,其中该基材还包含:
一高介电常数介电材料层;及
一金属层,位于该高介电常数介电材料层上。
7.如权利要求1所述的半导体装置的制造方法,其中该图案化光致抗蚀剂层的形成包含:
旋转涂布一光致抗蚀剂溶液至该基材上;
曝光该光致抗蚀剂层;及
显影该光致抗蚀剂层,形成一图案化光致抗蚀剂层,其中该光致抗蚀剂层的显影还会图案化该牺牲层。
8.如权利要求1所述的半导体装置的制造方法,还包含施予一二氧化碳水以图案化该牺牲层。
9.一种半导体装置的制造方法,包括:
形成一牺牲层于一基材上;
形成一图案化光致抗蚀剂层于该牺牲层上;
对该基材进行离子注入;
施予一化学溶液以移除该图案化光致抗蚀剂层;以及
施予一酸性溶液以移除该牺牲层。
10.如权利要求9所述的半导体装置的制造方法,其中该酸性溶液包含盐酸,其浓度为约1∶1至1∶1000之间且温度介于约20℃至80℃之间。
11.如权利要求9所述的半导体装置的制造方法,其中该酸性溶液的施予时间为约5秒至5分钟。
12.如权利要求9所述的半导体装置的制造方法,其中该牺牲层包含一材料,该材料择自下列所组成的群:氧化镧、氧化硅、氮氧化硅、氧化铪、氧化铝及氧化钨。
13.如权利要求9所述的半导体装置的制造方法,其中该化学溶液包含一蚀刻剂,该蚀刻剂择自下列所组成的群:以氧化剂为主的溶液、硫酸及过氧化氢、硫酸及臭氧、臭氧、N-甲基砒喀烷酮、环己醇、环戊醇、单甲基醚丙二醇、丙二醇单甲基醚酯。
14.一种半导体装置的制造方法,包括:
形成一第一金属层于一基材上;
形成一氧化镧层于该金属层上;
形成一图案化光致抗蚀剂层于该氧化镧层上;
对该基材进行一工艺;
施予一硫酸及过氧化氢溶液以移除该图案化光致抗蚀剂层;以及
施予一盐酸溶液以移除该氧化镧层。
15.如权利要求14所述的半导体装置的制造方法,其中该工艺包含一离子注入工艺或一蚀刻工艺。
CN200910173268A 2008-09-22 2009-09-22 半导体装置的制造方法 Pending CN101794071A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9905808P 2008-09-22 2008-09-22
US61/099,058 2008-09-22
US12/564,200 US8222149B2 (en) 2008-09-22 2009-09-22 Method for photoresist pattern removal
US12/564,200 2009-09-22

Publications (1)

Publication Number Publication Date
CN101794071A true CN101794071A (zh) 2010-08-04

Family

ID=42038090

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910173268A Pending CN101794071A (zh) 2008-09-22 2009-09-22 半导体装置的制造方法

Country Status (3)

Country Link
US (1) US8222149B2 (zh)
CN (1) CN101794071A (zh)
TW (1) TWI393184B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107785246A (zh) * 2016-08-30 2018-03-09 联芯集成电路制造(厦门)有限公司 对基底进行离子注入的方法
WO2023115265A1 (zh) * 2021-12-20 2023-06-29 华为技术有限公司 铁电存储器及其制造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009012827A1 (de) * 2009-03-03 2010-10-07 Gebr. Schmid Gmbh & Co. Verfahren zur Texturierung von Siliziumwafern für Solarzellen und Behandlungsflüssigkeit dafür
US8669189B2 (en) * 2009-06-25 2014-03-11 Lam Research Ag Method for treating a semiconductor wafer
US8535998B2 (en) 2010-03-09 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure
US20110275221A1 (en) * 2010-05-07 2011-11-10 Lam Research Ag Method for treatment substrates and treatment composition for said method
US8911558B2 (en) * 2011-03-23 2014-12-16 Nanya Technology Corp. Post-tungsten CMP cleaning solution and method of using the same
DE102011076185A1 (de) * 2011-05-20 2012-11-22 Globalfoundries Inc. Halbleiterbauelemente mit reduzierter STI-Topographie durch Anwenden elner chemischen Oxidabtragung
CN102420116B (zh) * 2011-06-07 2013-12-04 上海华力微电子有限公司 消除栅极凹形缺陷的方法
US8795542B2 (en) * 2011-07-26 2014-08-05 Intermolecular, Inc. Removal of silicon nitrides during manufacturing of semiconductor devices
US9627272B2 (en) 2015-08-24 2017-04-18 Globalfoundries Inc. Patterning scheme to minimize dry/wets strip induced device degradation
US9922882B1 (en) 2017-05-16 2018-03-20 United Microelectronics Corp. Manufacturing method of semiconductor structure
IL274880B2 (en) 2017-12-08 2024-04-01 Basf Se Composition and process for selectively burning a layer containing an aluminum compound in the presence of layers of materials with low K, copper and/or cobalt
US20190252243A1 (en) * 2018-02-12 2019-08-15 Duet Microelectronics Inc. Method of manufacturing airbridges for high performance semiconductor device
EP4245834A3 (en) 2019-05-23 2023-12-20 Basf Se Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3232837A1 (de) * 1982-09-03 1984-03-08 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen einer 2-ebenen-metallisierung fuer halbleiterbauelemente, insbesondere fuer leistungshalbleiterbauelemente wie thyristoren
US5334804A (en) * 1992-11-17 1994-08-02 Fujitsu Limited Wire interconnect structures for connecting an integrated circuit to a substrate
US5605602A (en) * 1994-09-08 1997-02-25 Advanced Micro Devices, Inc. Method and device for removing a thin film from a wafer backside surface
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6579766B1 (en) * 2002-02-15 2003-06-17 Infineon Technologies Ag Dual gate oxide process without critical resist and without N2 implant
KR100583957B1 (ko) * 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
US7371691B2 (en) * 2004-07-29 2008-05-13 Texas Instruments Incorporated Silicon recess improvement through improved post implant resist removal and cleans
US7450295B2 (en) * 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US7294543B2 (en) * 2006-03-22 2007-11-13 International Business Machines Corporation DRAM (Dynamic Random Access Memory) cells
KR100802294B1 (ko) * 2006-11-20 2008-02-11 동부일렉트로닉스 주식회사 이미지 센서의 제조 방법
US20080180783A1 (en) * 2007-01-25 2008-07-31 Li-Ming Wang Critical dimension control for photolithography for microelectromechanical systems devices
JP2010525379A (ja) * 2007-04-04 2010-07-22 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド 犠牲層における界面改変によるリリースエッチアタックの排除

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107785246A (zh) * 2016-08-30 2018-03-09 联芯集成电路制造(厦门)有限公司 对基底进行离子注入的方法
CN107785246B (zh) * 2016-08-30 2022-10-14 联芯集成电路制造(厦门)有限公司 对基底进行离子注入的方法
WO2023115265A1 (zh) * 2021-12-20 2023-06-29 华为技术有限公司 铁电存储器及其制造方法

Also Published As

Publication number Publication date
US20100075478A1 (en) 2010-03-25
US8222149B2 (en) 2012-07-17
TWI393184B (zh) 2013-04-11
TW201013773A (en) 2010-04-01

Similar Documents

Publication Publication Date Title
CN101794071A (zh) 半导体装置的制造方法
US10978350B2 (en) Structure and method for metal gates with roughened barrier layer
US9761684B2 (en) Method and structure for metal gates
US7390750B1 (en) Method of patterning elements within a semiconductor topography
US7297598B2 (en) Process for erase improvement in a non-volatile memory device
US8518786B2 (en) Process for forming a metal oxide semiconductor devices
US7759239B1 (en) Method of reducing a critical dimension of a semiconductor device
US20110189847A1 (en) Method for metal gate n/p patterning
US20060205223A1 (en) Line edge roughness reduction compatible with trimming
CN101789367B (zh) 半导体元件的制法
US8163655B2 (en) Method for forming a sacrificial sandwich structure
US11062957B2 (en) FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
KR20050085415A (ko) 다층 게이트 스택
US6750108B2 (en) Method for manufacturing a semiconductor device
CN108807377B (zh) 半导体器件及其形成方法
US20050019992A1 (en) Method for manufacturing gate electrode for use in semiconductor device
KR100732591B1 (ko) 반도체 장치의 제조 방법
US10403734B2 (en) Semiconductor device with reduced gate height budget
KR100861312B1 (ko) 반도체 소자의 제조방법
US7585736B2 (en) Method of manufacturing semiconductor device with regard to film thickness of gate oxide film
JP2008135765A (ja) 半導体装置
KR20080062010A (ko) 반도체 소자의 제조방법
TW202238734A (zh) 半導體元件之製造方法
KR100573485B1 (ko) 반도체 소자의 제조 방법
US8394724B2 (en) Processing with reduced line end shortening ratio

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20100804