CN101789367B - 半导体元件的制法 - Google Patents
半导体元件的制法 Download PDFInfo
- Publication number
- CN101789367B CN101789367B CN2009101691434A CN200910169143A CN101789367B CN 101789367 B CN101789367 B CN 101789367B CN 2009101691434 A CN2009101691434 A CN 2009101691434A CN 200910169143 A CN200910169143 A CN 200910169143A CN 101789367 B CN101789367 B CN 101789367B
- Authority
- CN
- China
- Prior art keywords
- layer
- etch process
- wet etch
- making
- semiconductor element
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims abstract description 139
- 239000004065 semiconductor Substances 0.000 title claims abstract description 63
- 239000000463 material Substances 0.000 claims abstract description 154
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 68
- 238000005530 etching Methods 0.000 claims abstract description 35
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims abstract description 12
- 238000000059 patterning Methods 0.000 claims description 53
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 claims description 44
- 239000000243 solution Substances 0.000 claims description 38
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 20
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 18
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 claims description 18
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 18
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 15
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 14
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 12
- 239000000203 mixture Substances 0.000 claims description 12
- 239000002253 acid Substances 0.000 claims description 10
- ZDZIJHSDFUXADX-UHFFFAOYSA-N azanium hydrogen peroxide hydroxide hydrate Chemical compound O.OO.[OH-].[NH4+] ZDZIJHSDFUXADX-UHFFFAOYSA-N 0.000 claims description 9
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 claims description 9
- 239000000126 substance Substances 0.000 claims description 9
- VSSLEOGOUUKTNN-UHFFFAOYSA-N tantalum titanium Chemical compound [Ti].[Ta] VSSLEOGOUUKTNN-UHFFFAOYSA-N 0.000 claims description 8
- 239000001569 carbon dioxide Substances 0.000 claims description 7
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 7
- 239000011538 cleaning material Substances 0.000 claims description 7
- -1 acetum Substances 0.000 claims description 6
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 6
- 239000003795 chemical substances by application Substances 0.000 claims description 6
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 claims description 4
- 239000004327 boric acid Substances 0.000 claims description 4
- 239000000758 substrate Substances 0.000 abstract description 7
- 238000001039 wet etching Methods 0.000 abstract description 6
- 239000010410 layer Substances 0.000 description 174
- 229910052751 metal Inorganic materials 0.000 description 49
- 239000002184 metal Substances 0.000 description 49
- 238000005516 engineering process Methods 0.000 description 17
- 238000002955 isolation Methods 0.000 description 17
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 description 16
- 230000003647 oxidation Effects 0.000 description 10
- 238000007254 oxidation reaction Methods 0.000 description 10
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 8
- 229910052721 tungsten Inorganic materials 0.000 description 7
- 239000010937 tungsten Substances 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 230000005669 field effect Effects 0.000 description 5
- 235000011007 phosphoric acid Nutrition 0.000 description 5
- 229960004838 phosphoric acid Drugs 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 229910003468 tantalcarbide Inorganic materials 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- HPXRVTGHNJAIIH-UHFFFAOYSA-N cyclohexanol Chemical compound OC1CCCCC1 HPXRVTGHNJAIIH-UHFFFAOYSA-N 0.000 description 4
- XCIXKGXIYUWCLL-UHFFFAOYSA-N cyclopentanol Chemical compound OC1CCCC1 XCIXKGXIYUWCLL-UHFFFAOYSA-N 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 239000011435 rock Substances 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- 229910010037 TiAlN Inorganic materials 0.000 description 3
- 239000004411 aluminium Substances 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- VIETUFSZPCIVQL-UHFFFAOYSA-N 1-methyl-3h-pyrrol-2-one Chemical class CN1C=CCC1=O VIETUFSZPCIVQL-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 102100023698 C-C motif chemokine 17 Human genes 0.000 description 2
- 101000978362 Homo sapiens C-C motif chemokine 17 Proteins 0.000 description 2
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- ISQINHMJILFLAQ-UHFFFAOYSA-N argon hydrofluoride Chemical compound F.[Ar] ISQINHMJILFLAQ-UHFFFAOYSA-N 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- MKTJTLRLXTUJCM-UHFFFAOYSA-N azanium;hydrogen peroxide;hydroxide Chemical compound [NH4+].[OH-].OO MKTJTLRLXTUJCM-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 239000000428 dust Substances 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 238000000671 immersion lithography Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 238000004377 microelectronic Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- RWRDLPDLKQPQOW-UHFFFAOYSA-N tetrahydropyrrole Substances C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910017083 AlN Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- 208000019901 Anxiety disease Diseases 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- LZRCQJCRDNSANQ-UHFFFAOYSA-N [Ti].[Sn].[Ta] Chemical compound [Ti].[Sn].[Ta] LZRCQJCRDNSANQ-UHFFFAOYSA-N 0.000 description 1
- 229960000583 acetic acid Drugs 0.000 description 1
- 235000011054 acetic acid Nutrition 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 230000036506 anxiety Effects 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- GHXRKGHKMRZBJH-UHFFFAOYSA-N boric acid Chemical compound OB(O)O.OB(O)O GHXRKGHKMRZBJH-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hcl hcl Chemical compound Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 238000010237 hybrid technique Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- 238000010422 painting Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Weting (AREA)
Abstract
本发明提供一种半导体元件的制法,其制法包括以下步骤:形成一材料层于一基材之上;形成一牺牲层于材料层之上,其中材料层与牺牲层各自具有小于100埃的厚度;形成一图案化光致抗蚀剂层于牺牲层之上;利用图案化光致抗蚀剂层作为一掩模,施加一第一湿式蚀刻工艺以蚀刻牺牲层,借以形成一图案化牺牲层;施加一第二湿式蚀刻工艺以蚀刻材料层;以及施加一第三湿式蚀刻工艺以移除图案化牺牲层。本发明可将蚀刻时间延长而不会发生光致抗蚀剂剥落(peeling)的问题;或可减少对第二材料层进行湿式蚀刻的时间,且可解决光致抗蚀剂剥落的问题;或可解决氮化钛表面残留光致抗蚀剂残余物的问题。
Description
技术领域
本发明涉及一种半导体元件的制法,且特别涉及一种形成牺牲层的方法。
背景技术
随着集成电路工业技术节点(node)的进步,目前已采用高介电常数材料(high k dielectric material)与金属以形成金属氧化物半导体场效应晶体管(metal-oxide-semiconductor field-effect transistors,MOSFETs)的金属栅极堆叠。在形成金属栅极堆叠结构的方法中,沉积并蚀刻金属层。在金属蚀刻的工艺中,其工艺宽裕度(processing window)不足且光致抗蚀剂有剥落之虞。此外,暴露在光致抗蚀剂外的金属膜无法通过蚀刻完全移除,且图案化的光致抗蚀剂会从其所覆盖的金属膜上剥落。再者,湿式蚀刻工艺所使用的蚀刻溶液可能会渗入图案化光致抗蚀剂与金属膜之间的界面,并且氧化位于图案化光致抗蚀剂之下的金属膜,将导致金属膜变质以及元件效能降低。
发明内容
为了解决现有技术存在的上述缺陷,本发明提供一种半导体元件的制法,包括以下步骤:形成一材料层于一基材之上;形成一牺牲层于该材料层之上,其中该材料层与该牺牲层各自具有一厚度小于100埃;形成一图案化光致抗蚀剂层于该牺牲层之上;利用该图案化光致抗蚀剂层作为一掩模,施加一第一湿式蚀刻工艺以蚀刻该牺牲层,借以形成一图案化牺牲层;施加一第二湿式蚀刻工艺以蚀刻该材料层;以及施加一第三湿式蚀刻工艺以移除该图案化牺牲层。
本发明另外提供一种半导体元件的制法,包括以下步骤:形成一钽化钛(TiN)层于一基材上;形成一氧化镧(LaO)层于该钽化钛层上;形成一图案化光致抗蚀剂层于该氧化镧层上;利用该图案化光致抗蚀剂层作为一掩模,对该基材施加一第一湿式蚀刻工艺以蚀刻该氧化镧层,借以形成一图案化氧化镧层;对该基材施加一氢氧化铵-过氧化氢-水的混合物(ammoniahydroxide-hydrogen peroxide-water mixture,APM)以蚀刻该钽化钛层;以及施加一第二湿式蚀刻工艺于该基材以移除该氧化镧层。
本发明所揭示的每一实施例各自表现出其不同的优点,例如,有的实施例可将蚀刻时间延长而不会发生光致抗蚀剂剥落(peeling)的问题;有的实施例可以减少对第二材料层进行湿式蚀刻的时间,且可解决光致抗蚀剂剥落的问题;有的实施例可解决氮化钛表面残留光致抗蚀剂残余物的问题。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合附图,作详细说明如下。
附图说明
图1为一流程图,用以说明本发明的流程。
图2~图8为一系列剖面图,用以说明本发明一实施例的流程。
上述附图中的附图标记说明如下:
100~半导体元件的制法
102~提供半导体基材
104~形成金属层与牺牲材料层于基材之上
106~形成图案化光致抗蚀剂层于基材之上
108~对基材施加第一湿式蚀刻工艺以图案化牺牲材料层
110~施加APM溶液以图案化金属层
112~施加溶剂剥离工艺以移除图案化光致抗蚀剂层
114~对基材施加第二湿式蚀刻工艺以移除牺牲材料层
200~半导体结构
210~基材
212~第一材料层(或金属层)
214~第二材料层(或牺牲层)
216~图案化光致抗蚀剂层
218~清洁材料
220~第一湿式蚀刻剂
222~剥离溶液
具体实施方式
以下特举出本发明的实施例,并配合附图作详细说明。以下实施例的元件和设计为了简化本发明,并非用以限定本发明。虽然本发明提供许多实施例用以揭示本发明的应用,并非用以限定本发明。此外,本发明于各个实施例中可能使用重复的参考符号和/或文字。这些重复符号或文字为了简化与清晰的目的,并非用以限定各个实施例和/或所述结构之间的关系。再者,说明书中提及形成第一特征位于第二特征之上,其包括第一特征与第二特征是直接接触的实施例,另外也包括于第一特征与第二特征之间另外有其他特征的实施例,因此,第一特征与第二特征并非直接接触。
图1为本发明实施例的流程图,其显示一具有金属栅极堆叠结构的半导体元件的制法100。图2至图8显示本发明实施例的半导体结构200于各个工艺阶段的剖面图。半导体元件的制法100描述于图1至图8。
请参见图1和图2,半导体元件的制法100起始于步骤102,其提供一半导体基材210。此半导体基材210包括硅。另外,基材210包括锗、硅化锗或其他适合的半导体材料,例如钻石、碳化硅或砷化镓。此外,基材210尚可包括其他特征和/或材料层,例如形成于基材之中的隔离结构。基材210可包括配置或耦合各种p型掺杂区域或n型掺杂区域以形成各种元件和功能性特征。于各个步骤中可利用例如离子注入或扩散等工艺以形成所有的掺杂特征。基材210可包括其他特征,例如浅沟隔离结构(shallow trench isolation,STI)。
基材210尚可包括各种介电-金属-栅极-堆叠材料层。在一实施例中,高介电常数(high-k)材料层形成于半导体基材210之上。可通过一合适的工艺形成高介电常数(high-k)材料层,例如原子层沉积法(atomic layer deposition,ALD)。其他形成高介电常数(high-k)材料层的方法例如金属有机化学气相沉积法(metal organic chemical vapor deposition,MOCVD)、物理气相沉积法(physical vapor deposition,PVD)、紫外光-臭氧氧化法(UV-ozone oxidation)和分子束外延法(molecular beam epitaxy,MBE)。在一实施例中,高介电常数(high-k)材料包括氧化铪(HfO2)。另外,高介电常数(high-k)材料层包括金属氮化物(metal nitride)、金属硅化物(metal silicate)或其他金属氧化物。
盖层(capping layer)可形成于高介电常数(high-k)材料层之上。在一实施例中,盖层包括氧化镧。在其他实施例中,盖层包括氧化铝(Al2O3)。此外,盖层可包括其他适合的材料。
界面层(interfacial layer,IL)可设置于半导体基材210与高介电常数(high-k)材料层之间。界面层可包括一氧化硅薄层,且在高介电常数(high-k)材料层形成前形成于基材210之上。此氧化硅薄层可通过原子层沉积法(ALD)或热氧化法形成。
请参见图1与图3,半导体元件的制法100接着进行步骤104,其形成一第一材料层212于基材210之上与一第二材料层214于第一材料层212之上。第一材料层212与第二材料层214的厚度少于100埃。第一材料层212包括一金属,而金属层包括氮化钛(TiN)。另外,金属层包括氮化钽(tantalumnitride,TaN)、氮化钼(molybdenum nitride,MoN)、氮化钨(tungsten nitride,WN)、钨(tungsten,W)、碳化钽(tantalum carbide,TaC)、氮碳化钽(tantalumcarbide nitride,TaCN)、氮化铝钛(titanium aluminum nitride,TiAlN)、铝(aluminu)或多晶硅(polysilicon)。此金属层可利用物理气相沉积法(PVD)或其他适合的方法形成。金属层的厚度介于5埃至100埃之间。例如,金属层的厚度为约50埃。第二材料层214为一牺牲材料层,其目的在于将金属层图案化而于上述步骤中实施,并于金属层图案化后被移除。该牺牲材料层包括氧化镧。另外,牺牲材料层也可包括氧化铝(Al2O3)。牺牲材料层的厚度介于5埃至100埃之间。例如,牺牲材料层的厚度为约20埃。牺牲材料层可利用物理气相沉积法(PVD)或其他适合的方法形成。
请参见图1与图3,半导体元件的制法100接着进行步骤106,其形成一图案化光致抗蚀剂层216于基材210之上。此图案化光致抗蚀剂层216作为一掩模,用以图案化牺牲材料层与金属层。如图3所示,图案化光致抗蚀剂层216形成于牺牲材料层之上。此图案化光致抗蚀剂层利用光微影工艺(photolithography process)形成。微影工艺通常包括以下步骤:光致抗蚀剂涂布、软烤、光掩模对准、曝光、曝光后烘烤、显影光致抗蚀剂与硬烤。微影工艺(lithography process)可使用氟化氪准分子激光(KrF excimer laser)、氟化氩准分子激光(ArF excimer laser)、氟化氩浸润式微影(ArF immersionlithography)、极紫外光微影(extreme ultra-violet,EUV)或电子束曝光(electron-beam writing,e-beam)。光微影曝光工艺(photolithography exposingprocess)也可用其他适合的方法取代,例如无光掩模微影(masklessphotolithography)、离子束曝光(ion-beam writing)及分子压印(molecularimprint)。此外,在涂布光致抗蚀剂层之前,六甲基二硅氮烷(hexamethyldisilazane,HMDS)溶液被施加于该牺牲材料层以增进光致抗蚀剂附着力。在一实施例中,图案化光致抗蚀剂层216定义出许多开口,以露出下方的牺牲材料层曝光。图案化光致抗蚀剂层定义出许多栅极区域(gate regions),或者可说此图案化光致抗蚀剂层的开口定义出栅极堆叠材料层需被移除的区域。
请参见图1与图4,于形成图案化光致抗蚀剂层之后,半导体元件的制法100可包括一额外的清洁步骤。在此步骤中,清洁材料218被施加于图案化光致抗蚀剂层用以清洁有机残余物或其他残余物。清洁材料能够移除有机残余物。举例而言,清洁材料包括顶端抗反射层(top anti-reflective coatinglayer,TARC)。在此例中,TARC层涂布于基材之上,且之后被烘烤与润洗。清洁材料可替代地或额外地包括溶剂、界面活性剂(surfactant)或聚合物成分。
请参见图1与图5,半导体元件的制法100接着进行步骤108,使用图案化光致抗蚀剂层作为掩模,对基材施加第一湿式蚀刻剂220来进行第一湿式蚀刻工艺,用以移除牺牲材料层。通过第一湿式蚀刻工艺可移除位于图案化掩模开口处的牺牲材料层,随后即可暴露出该处的金属层212。在一实施例中,此牺牲材料层采用氧化镧,且其第一湿式蚀刻工艺利用盐酸(HCl)进行。例如,此第一湿式蚀刻溶液包括盐酸及水,其盐酸/水的比例范围介于1∶1至约1∶1000,且其蚀刻持续时间范围介于约5秒钟至约5分钟。在另一实施例中,其第一湿式蚀刻溶液包括一弱酸,例如,此一弱酸溶液包括二氧化碳水(CO2 water)。在又一实施例中,第一湿式蚀刻溶液包括:醋酸溶液(aceticacid solution)、柠檬酸溶液(citric acid)、硼酸(boric acid)或磷酸(phosphoricacid)。在再一实施例中,牺牲材料层包括氧化铝(Al2O3),且第一湿式蚀刻剂包括一光致抗蚀剂显影剂(resist developer),例如,使用氢氧化四甲基铵(tetramethylammonium hydroxide,TMAH)溶液以移除该氧化铝牺牲材料层。进一步而言,在前述实施例中,可用pH值低于7的湿式蚀刻溶液蚀刻氧化镧牺牲材料层;而可用pH值低高于8的湿式蚀刻溶液蚀刻氧化铝牺牲材料层。
请参见图1与图6,半导体元件的制法100接着进行步骤110,对金属层212施加一湿式蚀刻剂220。在一实施例中,金属层212包括氮化钛(TiN),于湿式蚀刻工艺中施加于此氮化钛的蚀刻剂包括一氢氧化铵-过氧化氢-水的混合物(APM)溶液。
请参见图1与图7,半导体元件的制法100接着进行步骤112,施加一剥离溶液(stripping solution)222以移除图案化光致抗蚀剂层216。在此利用剥离溶液(stripping solution)取代等离子体灰化(plasma ashing)以移除光致抗蚀剂。在许多实施例中,剥离溶液(stripping solution)包括N-甲基-2-吡咯酮(N-methyl-2-pyrrolidine,NMP)、环己醇(cyclohexanol)、环戊醇(cyclopentanol)、二甲基亚砜(dimethyl sulfoxide,DMSO)、丙二醇甲醚(propylene glycol monomethyl ether,PGME)或丙二醇甲醚醋酸酯(propyleneglycol monomethyl ether acetate,PGMEA)。此外,利用剥离溶液(strippingsolution)移除图案化光致抗蚀剂层的步骤112,此步骤可于湿蚀刻牺牲材料层214的步骤108与湿蚀刻金属层212的步骤110之间实施。于此例中,图案化牺牲材料层作为蚀刻金属层的掩模。
请参见图1与图8,半导体元件的制法100接着进行步骤114,施加一第二湿式蚀刻剂于基材,用以移除图案化的牺牲材料层。此第二湿式蚀刻剂类似于步骤108中用于图案化该牺牲材料层的第一湿式蚀刻剂。在一实施例中,此图案化的牺牲材料层包括氧化镧,且第二蚀刻工艺利用盐酸进行。例如,第一湿式蚀刻溶液包括盐酸及水,其盐酸/水的比例范围介于1∶1至约1∶1000,且其蚀刻持续时间范围介于约5秒钟至约5分钟。在另一实施例中,第一湿式蚀刻溶液包括弱酸,例如,弱酸溶液包括二氧化碳水。在又一实施例中,第一湿式蚀刻溶液包括:醋酸溶液、柠檬酸溶液、硼酸或磷酸。在再一实施例中,牺牲材料层包括氧化铝,且第二湿式蚀刻剂包括光致抗蚀剂显影剂(resist developer),例如,使用氢氧化四甲基铵(tetramethylammoniumhydroxide,TMAH)溶液以移除氧化铝牺牲材料层。
本发明所揭示的方法在各种应用领域中表现出许多的优点,可想而知的是,本发明所揭示的每一实施例各自表现出其不同的优点,并没有任何一个特殊的优点是所有实施例所不可或缺的。例如,利用牺牲材料层对第一材料层(例如氮化钛,TiN)进行湿式蚀刻工艺时,可使用图案化牺牲材料层作为蚀刻掩模。因此可将蚀刻时间延长而不会发生光致抗蚀剂剥落(peeling)的问题。在另一实施例中,当使用于第一材料层图案化的湿式蚀刻剂对于牺牲材料层具有较高的湿式蚀刻反应选择性(wet etch selectivity)时,则第二材料层不必太厚,可以减少对第二材料层进行湿式蚀刻的时间,且可解决光致抗蚀剂剥落的问题。在另一例中,在相关的蚀刻步骤之中及蚀刻步骤之后,多孔性氮化钛将不再吸附或黏附光致抗蚀剂,因此可解决氮化钛表面残留光致抗蚀剂残余物的问题。此外,由于牺牲材料层之后会被完全地移除,因此即使有任何光致抗蚀剂残余物残留,此光致抗蚀剂残余物也将随着牺牲材料层的移除而被清除。在又一实施例中,此牺牲材料层包括金属氧化物而能够作为一停止层(stop layer),以阻止过氧化氢(H2O2)对第一材料层进行氧化作用。在再一实施例中,当牺牲材料层形成于第一材料层之上时,则第一材料层将得到良好的横向蚀刻控制(lateral etch control)。
虽然图中并未显示,但是本发明可存在其他的工艺步骤,用以形成各种掺杂区域(例如源极区或漏极区)或元件特征(例如多层内连线,multilayerinterconnection,MLI)。在一实施例中,第一材料层可另外包括其他材料层,以进行图案化。例如,第一材料层包括高介电常数材料层,例如氧化铪(hafnium oxide)。在另一实施例中,第二材料层可包括其他适合的金属氧化物,其功能在于进行湿式蚀刻工艺流程以图案化金属栅极堆叠时,能够减轻或消除光致抗蚀剂剥除的问题。
在另一实施例中,可对基材进行其他的图案化步骤(patterning steps),用以进一步图案化金属栅极堆叠。在再一实施例中,形成栅极堆叠之后,可形成轻掺杂漏极(light doped drain,LDD)区域。另外,也可形成栅极间隙壁(gatespacer)于金属栅极堆叠的侧壁。之后,于对齐栅极间隙壁外缘部分形成源极和漏极区域。此栅极间隙壁可能具有一多层结构,包括氧化硅、氮化硅、氮氧化硅或其他介电材料。可通过一公知的掺杂工艺,例如离子注入法(ionimplantation),形成经过掺杂的源极与漏极区域以及掺杂一n型掺杂物或一p型掺杂物的轻掺杂漏极(light doped drain,LDD)区域。可用于形成n型掺杂区域的n型掺杂物包括磷、砷和/或其他材料;可用于形成p型掺杂区域的p型掺杂物包括硼、铟和/或其他材料。
接着进一步形成多层内连线(MLI),此多层内连线(MLI)包括垂直式内连线(例如传统的介层插塞(vias)或接触插塞(contacts))以及水平式内连线(例如金属线)。各种内连线特征可施加不同的导电性材料,包括铜、钨及硅化物。在一实施例中,利用镶嵌工艺(damascene process)形成含铜的多层内连线(MLI)结构。在另一实施例中,利用钨于接触孔中形成钨插塞(plug)。
半导体基材可能具有其他的隔离特征(isolation features),借以使每一元件与其他元件隔离。隔离特征(isolation features)包括多种不同结构,并且可利用多种不同工艺技术形成。例如,隔离特征(isolation features)可包括浅沟隔离结构(shallow trench isolation,STI)。此浅沟隔离结构(STI)的形成包括在基材中蚀刻出沟槽,并使用绝缘材料(例如氧化硅、氮化硅或氮氧化硅)填充此沟槽。经填充的沟槽可具有多层结构,例如以氮化硅与热氧化衬层(thermaloxide liner layer)填充沟槽。在一实施例中,此浅沟隔离结构(STI)的形成包括一连串的步骤,例如:成长一氧化物衬垫(pad oxide),形成一低压化学气相沉积(LPCVD)氮化物层,利用光致抗蚀剂作为掩模进行浅沟隔离结构(STI)开口的图案化,在基材中蚀刻出沟槽,视需要地成长一热氧化衬层(thermaloxide liner layer)以改善沟槽界面,以化学气相沉积(CVD)氧化物填充沟槽,使用化学机械平坦化(chemical mechanical planarization,CMP)工艺进行回蚀刻(etch back),最后使用氮化物剥除法留下浅沟隔离结构(STI)。
此半导体制法100可应用于各种元件,其中半导体结构200只是用以举例说明,本发明中所揭示的半导体结构及其制法也可应用于其他含有金属栅极的半导体元件,例如应变半导体(strained semiconductor)基材、异质半导体(hetero-semiconductor)元件或无应力隔绝结构(stress-free isolation structure)。
本发明所揭示的内容并非局限于包括金属氧化物半导体晶体管(MOStransistor)的半导体结构,尚可延伸应用于其他具有金属栅极堆叠的集成电路。例如,半导体结构200可包括一动态随机存取存储器(dynamic randomaccess memory,DRAM)单元、一单电子晶体管(single electron transistor,SET)和/或其他微电子元件(在此通称为微电子元件)。在另一实施例中,半导体结构150包括一鳍式场效应晶体管(FinFET transistors)。当然,在此所揭示的内容也可应用和/或调整适用于其他类型的晶体管,包括单栅极晶体管(single-gate transistors)、双栅极晶体管(double-gate transistors)及其他多栅极晶体管(multiple-gate transistors),此外,也可使用于其他不同的应用领域,包括感测器单元、存储单元、逻辑单元及其他领域。
虽然本发明已以数个较佳实施例揭示如上,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰。在一实施例中,第二材料层可包括氧化钨。在另一实施例中,利用本发明所揭示的方法形成一个或多个金属氧化物半导体场效应晶体管(metal-oxide-semiconductor field-effect-transistors,MOSFETs)。在又一实施例中,利用本发明所揭示的方法于先栅极工艺(gate-first process)中形成金属栅极堆叠,并使此金属栅极堆叠维持其最终结构。在再一实施例中,利用本发明所揭示的方法,于混合工艺(hybrid process)中形成一金属栅极堆叠,其中第一类型金属栅极堆叠(例如N沟道金属氧化物半导体金属栅极堆叠(NMOSmetal gate stack))利用本发明所揭示的方法形成,并维持其最终结构。而第二类型金属栅极堆叠(例如P沟道金属氧化物半导体金属栅极堆叠(PMOS metalgate stack))形成一虚设栅极结构,因此可实施源极/漏极离子注入(ionimplantation)工艺与退火(annealing)工艺。随后移除一部分的虚设栅极堆叠,并且以适当的材料再次填充此虚设栅极沟槽。在再一实施例中,利用本发明所揭示的方法于后栅极(gate-last process)中形成一金属栅极堆叠,其中虚设金属栅极堆叠利用本发明所揭示的方法形成,于源极与漏极形成之后,对于N沟道金属氧化物半导体(NMOS)与P沟道金属氧化物半导体(PMOS)的虚设栅极,可同时或分别以最后的金属层材料取代。
在另一实施例中,半导体基材可包括一外延层(epitaxial layer)。例如,基材可具有位于半导体块材上的外延层。此外,可使基材产生应变(strained)以增进效能。举例而言,形成外延层的工艺包括选择性区域外延成长(selectiveepitaxial growth,SEG)工艺,此外延层可包括不同于块材的半导体材料,例如位于硅块材上的锗化硅层,或是位于锗化硅块材上的硅层。此外,基材可包括绝缘体上覆半导体(semiconductor-on-insulator,SOI)结构,例如埋藏介电层(buried dielectric layer)。
本发明所揭示的内容提供一制造半导体元件的方法。此方法包括于基材上形成一材料层;于材料层上形成一牺牲层,其中材料层与该牺牲层各自具有小于100埃的厚度;形成一图案化光致抗蚀剂层于牺牲层之上;利用图案化光致抗蚀剂层作为一掩模,施加一第一湿式蚀刻工艺以蚀刻牺牲层,借以形成一图案化牺牲层;施加一第二湿式蚀刻工艺以蚀刻材料层;以及施加一第三湿式蚀刻工艺以移除图案化牺牲层。
上述方法于施加第一湿式蚀刻工艺之后与施加第三湿式蚀刻工艺之前,尚包括施加一湿式化学蚀刻以移除图案化光致抗蚀剂层。此湿式化学蚀刻可于施加第二湿式蚀刻工艺之前进行。在一实施例中,材料层包括氮化钛。在另一实施例中,牺牲层包括氧化镧。第一与第三湿式蚀刻工艺各自包括含有盐酸的蚀刻剂。第一与第三湿式蚀刻工艺各自包括施加二氧化碳水。在又一实施例中,牺牲层包括氧化铝。第一与第三湿式蚀刻工艺各自包括施加氢氧化四甲基铵溶液。第一湿式蚀刻工艺包括对基材施加一弱酸溶液,此弱酸溶液包括二氧化碳水、醋酸溶液、柠檬酸溶液、硼酸或磷酸。第二湿式蚀刻工艺包括对基材施加氢氧化铵-过氧化氢-水的混合物。此方法于形成图案化光致抗蚀剂层之前,尚包括对牺牲层施加六甲基二硅氮烷(hexamethyl disilazane,HMDS)。此方法于施加第一湿式蚀刻工艺之前,尚包括对此图案化光致抗蚀剂层施加一清洁材料。
本发明也揭示半导体元件制法的另一实施例。此方法包括形成一钽化钛层于基材上;形成一氧化镧层于钽化钛层上;形成一图案化光致抗蚀剂层于氧化镧层上;利用图案化光致抗蚀剂层作为一掩模,对基材施加一第一湿式蚀刻工艺以蚀刻氧化镧层,借以形成一图案化氧化镧层;对基材施加氢氧化铵-过氧化氢-水的混合物以蚀刻钽化钛层;以及施加一第二湿式蚀刻工艺于基材以移除氧化镧层。
上述方法于施加第一湿式蚀刻工艺之后与施加氢氧化铵-过氧化氢及水-混合物(APM)之前,尚包括施加一湿式化学蚀刻以移除图案化光致抗蚀剂层。此方法于施加氢氧化铵-过氧化氢-水的混合物(APM)之后与施加第二湿式蚀刻工艺之前,尚包括施加一湿式化学蚀刻以移除图案化光致抗蚀剂层。湿式化学蚀刻工艺包括施加N-甲基-2-吡咯酮(N-methyl-2-pyrrolidine,NMP)、环己醇(cyclohexanol)、环戊醇(cyclopentanol)、二甲基亚砜(dimethyl sulfoxide,DMSO)、丙二醇甲醚(propylene glycol monomethyl ether,PGME)或丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA)。
在一实施例中,第一与第二湿式蚀刻工艺各自包括含有盐酸及水的蚀刻剂。此外,第一与第二湿式蚀刻工艺各自包括含有光致抗蚀剂显影剂(resistdeveloper)的蚀刻剂。第一湿式蚀刻工艺包括对基材施加一弱酸溶液,其中弱酸溶液包括二氧化碳水、醋酸溶液、柠檬酸溶液、硼酸或磷酸。
本发明所揭示的内容也提供一制造半导体元件的方法。此方法包括形成一第一材料层于基材上;形成一第二材料层于第一材料层上;形成一图案化光致抗蚀剂层于第二材料层上;利用图案化光致抗蚀剂层作为一掩模,对基材施加一第一湿式蚀刻工艺以蚀刻第二材料层,借以形成一图案化第二材料层;对基材施加氢氧化铵-过氧化氢-水的混合物以蚀刻第一材料层;以及施加一第二湿式蚀刻工艺于基材以移除图案化第一材料层。第一材料层可包括氮化钼(molybdenum nitride,MoN)、碳化钽(tantalum carbide,TaC)、氮化钛(TiN)、氮化铝钽(titanium aluminum nitride,TiAlN)、氮化钽(tantalum nitride,TaN)、铝(aluminu)或多晶硅(polysilicon)。施加氢氧化铵-过氧化氢及水-混合物(APM)的目的包括图案化第一材料层,借以形成一场效应晶体管(FET)的金属栅极。在一实施例中,第二材料层可包括氧化镧。第一与第二湿式蚀刻工艺可各自包括施加pH值约小于7的化学溶液。在此例中,第一与第二湿式蚀刻工艺各自包括施加盐酸及水。第二材料层可包括氧化铝。在此例中,第一与第二湿式蚀刻工艺可各自包括施加pH值约高于8的化学溶液。第一与第二湿式蚀刻工艺各自包括施加氢氧化四甲基铵溶液。
虽然本发明已以数个较佳实施例揭示如上,然其并非用以限定本发明,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。
Claims (14)
1.一种半导体元件的制法,包括以下步骤:
形成一材料层于一基材之上;
形成一牺牲层于该材料层之上,其中该材料层与该牺牲层各自具有小于100埃的厚度;
形成一图案化光致抗蚀剂层于该牺牲层之上;
利用该图案化光致抗蚀剂层作为一掩模,施加一第一湿式蚀刻工艺以蚀刻该牺牲层,借以形成一图案化牺牲层;
施加一第二湿式蚀刻工艺以蚀刻该材料层;以及
施加一第三湿式蚀刻工艺以移除该图案化牺牲层,
其中,该第一湿式蚀刻工艺与该第二湿式蚀刻工艺各自包括含有一光致抗蚀剂显影剂的蚀刻剂。
2.如权利要求1所述的半导体元件的制法,于施加该第一湿式蚀刻工艺之后与施加该该第二湿式蚀刻工艺、第三湿式蚀刻工艺之前,尚包括施加一湿式化学蚀刻以移除该图案化光致抗蚀剂层。
3.如权利要求1所述的半导体元件的制法,其中该材料层包括氮化钛。
4.如权利要求1所述的半导体元件的制法,其中该牺牲层包括氧化镧。
5.如权利要求4所述的半导体元件的制法,其中该第一与第三湿式蚀刻工艺各自包括含有盐酸的蚀刻剂。
6.如权利要求4所述的半导体元件的制法,其中该第一与第三湿式蚀刻工艺各自包括施加二氧化碳水。
7.如权利要求1所述的半导体元件的制法,其中该牺牲层包括氧化铝。
8.如权利要求7所述的半导体元件的制法,其中该第一与第三湿式蚀刻工艺各自包括施加氢氧化四甲基铵溶液。
9.如权利要求1所述的半导体元件的制法,其中该第一湿式蚀刻工艺包括施加一弱酸溶液,其中该弱酸溶液包括二氧化碳水、醋酸溶液、柠檬酸溶液、硼酸或磷酸,其中,所述牺牲层包括氧化镧。
10.如权利要求1所述的半导体元件的制法,其中该第二湿式蚀刻工艺包括施加氢氧化铵-过氧化氢-水的混合物,其中,所述材料层包括氮化钛。
11.如权利要求1所述的半导体元件的制法,于形成该图案化光致抗蚀剂层之前,尚包括对该牺牲层施加六甲基二硅氮烷。
12.如权利要求1所述的半导体元件的制法,于施加该第一湿式蚀刻工艺之前,尚包括对该图案化光致抗蚀剂层施加一清洁材料。
13.一种半导体元件的制法,包括以下步骤:
形成一钽化钛层于一基材上;
形成一氧化镧层于该钽化钛层上;
形成一图案化光致抗蚀剂层于该氧化镧层上;
利用该图案化光致抗蚀剂层作为一掩模,对该基材施加一第一湿式蚀刻工艺以蚀刻该氧化镧层,借以形成一图案化氧化镧层;
对该基材施加一氢氧化铵-过氧化氢-水的混合物以蚀刻该钽化钛层;以及
施加一第二湿式蚀刻工艺于该基材以移除该氧化镧层,
其中,该第一湿式蚀刻工艺与该第二湿式蚀刻工艺各自包括含有一光致抗蚀剂显影剂的蚀刻剂。
14.如权利要求13所述的半导体元件的制法,其中该第一与第二湿式蚀刻工艺各自包括含有盐酸及水的蚀刻剂。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US9656608P | 2008-09-12 | 2008-09-12 | |
US61/096,566 | 2008-09-12 | ||
US12/536,805 | 2009-08-06 | ||
US12/536,805 US8183162B2 (en) | 2008-09-12 | 2009-08-06 | Method of forming a sacrificial layer |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101789367A CN101789367A (zh) | 2010-07-28 |
CN101789367B true CN101789367B (zh) | 2012-04-04 |
Family
ID=42532526
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2009101691434A Expired - Fee Related CN101789367B (zh) | 2008-09-12 | 2009-09-11 | 半导体元件的制法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US8183162B2 (zh) |
CN (1) | CN101789367B (zh) |
TW (1) | TWI409871B (zh) |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SG176144A1 (en) * | 2009-06-25 | 2011-12-29 | Lam Res Ag | Method for treating a semiconductor wafer |
US8298751B2 (en) * | 2009-11-02 | 2012-10-30 | International Business Machines Corporation | Alkaline rinse agents for use in lithographic patterning |
MY151464A (en) * | 2010-12-09 | 2014-05-30 | Mimos Berhad | A method of fabricating a semiconductor device |
CN103539064B (zh) * | 2012-07-10 | 2016-03-02 | 无锡华润上华半导体有限公司 | Mems结构的牺牲层湿法腐蚀方法及mems结构 |
CN102956551B (zh) * | 2012-11-02 | 2015-01-07 | 京东方科技集团股份有限公司 | 阵列基板的制作方法、阵列基板及显示装置 |
US8759220B1 (en) * | 2013-02-28 | 2014-06-24 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
US9291910B2 (en) | 2013-09-27 | 2016-03-22 | Dynaloy, Llc | Aqueous solution and process for removing substances from substrates |
US9567493B2 (en) * | 2014-04-25 | 2017-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMP slurry solution for hardened fluid material |
US10073352B2 (en) | 2016-04-12 | 2018-09-11 | Versum Materials Us, Llc | Aqueous solution and process for removing substances from substrates |
CN106057667B (zh) * | 2016-07-06 | 2019-02-05 | 京东方科技集团股份有限公司 | 膜层图案的制作方法、基板的制作方法及基板、显示装置 |
US10504795B2 (en) * | 2018-03-27 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for patterning a lanthanum containing layer |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040192060A1 (en) * | 2003-03-20 | 2004-09-30 | Maik Stegemann | Method for fabricating a semiconductor structure |
CN1855372A (zh) * | 2005-04-18 | 2006-11-01 | 力晶半导体股份有限公司 | 栅极与具有此种栅极的快闪存储器的形成方法 |
CN101140421A (zh) * | 2006-09-04 | 2008-03-12 | 中芯国际集成电路制造(上海)有限公司 | 形成光刻胶图案的方法 |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5907791A (en) * | 1996-04-25 | 1999-05-25 | Lucent Technologies Inc. | Method of making semiconductor devices by patterning a wafer having a non-planar surface |
US6555455B1 (en) * | 1998-09-03 | 2003-04-29 | Micron Technology, Inc. | Methods of passivating an oxide surface subjected to a conductive material anneal |
US6749760B2 (en) * | 2001-10-26 | 2004-06-15 | Intel Corporation | Etchant formulation for selectively removing thin films in the presence of copper, tin, and lead |
KR100689665B1 (ko) * | 2003-11-06 | 2007-03-08 | 삼성전자주식회사 | 시스템 온 칩용 인덕터의 제조 방법 |
US7176092B2 (en) * | 2004-04-16 | 2007-02-13 | Taiwan Semiconductor Manufacturing Company | Gate electrode for a semiconductor fin device |
TW200702505A (en) * | 2005-07-11 | 2007-01-16 | Ind Tech Res Inst | Nanofiber and fabrication methods thereof |
KR100790245B1 (ko) * | 2006-12-07 | 2008-01-02 | 동부일렉트로닉스 주식회사 | 반도체 소자용 금속 배선 형성 방법 |
-
2009
- 2009-08-06 US US12/536,805 patent/US8183162B2/en not_active Expired - Fee Related
- 2009-09-11 TW TW098130691A patent/TWI409871B/zh not_active IP Right Cessation
- 2009-09-11 CN CN2009101691434A patent/CN101789367B/zh not_active Expired - Fee Related
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040192060A1 (en) * | 2003-03-20 | 2004-09-30 | Maik Stegemann | Method for fabricating a semiconductor structure |
CN1855372A (zh) * | 2005-04-18 | 2006-11-01 | 力晶半导体股份有限公司 | 栅极与具有此种栅极的快闪存储器的形成方法 |
CN101140421A (zh) * | 2006-09-04 | 2008-03-12 | 中芯国际集成电路制造(上海)有限公司 | 形成光刻胶图案的方法 |
Also Published As
Publication number | Publication date |
---|---|
CN101789367A (zh) | 2010-07-28 |
TWI409871B (zh) | 2013-09-21 |
US8183162B2 (en) | 2012-05-22 |
US20100093176A1 (en) | 2010-04-15 |
TW201021114A (en) | 2010-06-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101789367B (zh) | 半导体元件的制法 | |
US8970015B2 (en) | Method for protecting a gate structure during contact formation | |
US8952451B2 (en) | Semiconductor device having metal gate and manufacturing method thereof | |
US8222149B2 (en) | Method for photoresist pattern removal | |
US8754487B2 (en) | Semiconductor device with metal gate | |
US8093116B2 (en) | Method for N/P patterning in a gate last process | |
US8163655B2 (en) | Method for forming a sacrificial sandwich structure | |
TWI415263B (zh) | 半導體裝置及其製造方法 | |
US8258587B2 (en) | Transistor performance with metal gate | |
US12021132B2 (en) | Gate patterning process for multi-gate devices | |
US11411001B2 (en) | Integrated circuit and manufacturing method thereof | |
US8980706B2 (en) | Double treatment on hard mask for gate N/P patterning | |
CN101667541B (zh) | 半导体装置的金属栅极堆叠的形成方法 | |
CN102104003A (zh) | 半导体装置的制造方法 | |
CN112993011A (zh) | 半导体结构及其形成方法 | |
US8304349B2 (en) | Method to integrate gate etching as all-in-one process for high K metal gate |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20120404 |
|
CF01 | Termination of patent right due to non-payment of annual fee |