US8183162B2 - Method of forming a sacrificial layer - Google Patents

Method of forming a sacrificial layer Download PDF

Info

Publication number
US8183162B2
US8183162B2 US12/536,805 US53680509A US8183162B2 US 8183162 B2 US8183162 B2 US 8183162B2 US 53680509 A US53680509 A US 53680509A US 8183162 B2 US8183162 B2 US 8183162B2
Authority
US
United States
Prior art keywords
applying
layer
wet etching
etching process
patterned photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US12/536,805
Other languages
English (en)
Other versions
US20100093176A1 (en
Inventor
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/536,805 priority Critical patent/US8183162B2/en
Priority to CN2009101691434A priority patent/CN101789367B/zh
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHING-YU
Publication of US20100093176A1 publication Critical patent/US20100093176A1/en
Application granted granted Critical
Publication of US8183162B2 publication Critical patent/US8183162B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Definitions

  • MOSFETs metal-oxide-semiconductor field-effect transistors
  • a metal layer is deposited and etched.
  • the etch processing window is not enough and the photoresist (resist) may experience a peeling issue at the metal etch step.
  • the exposed metal film cannot be completely etched away and the patterned resist can be peeled from the underlying metal film.
  • the wet etch solution can penetrate to the interface between the patterned resist and the metal film and oxidize the metal film underlying the patterned resist. This further changes the metal film and degrades the device performance.
  • first and second features are formed in direct contact
  • additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • FIG. 1 is a flowchart of a method making a semiconductor device in one embodiment constructed according to aspects of the present disclosure.
  • FIGS. 2 through 8 are sectional views of one embodiment of a semiconductor structure having a metal gate stack at various fabrication stages constructed according to aspects of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • FIG. 1 is a flowchart of one embodiment of a method 100 making a semiconductor device having a metal gate stack constructed according to aspects of the present disclosure.
  • FIGS. 2 through 8 are sectional views of one embodiment of a semiconductor structure 200 at various fabrication stages. The method 100 of making a semiconductor device is described with reference to FIGS. 1 through 8 .
  • the method 100 begins at step 102 by providing a semiconductor substrate 210 .
  • the semiconductor substrate 210 includes silicon.
  • the substrate 210 includes germanium, silicon germanium or other suitable semiconductor material, such as diamond, silicon carbide or gallium arsenic.
  • the substrate 210 may further include additional features and/or material layers, such as various isolation features formed in the substrate.
  • the substrate 210 may include various p-type doped regions and/or n-type doped regions configured and coupled to form various devices and functional features. All doping features may be achieved using a process such as ion implantation or diffusion in various steps and techniques.
  • the substrate 210 may include other features such as a shallow trench isolation (STI).
  • STI shallow trench isolation
  • the substrate 210 may further include various dielectric-metal-gate-stack material layers.
  • a high k dielectric material layer is formed on the semiconductor substrate 210 .
  • the high k dielectric material layer is formed by a suitable process such as an atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • Other methods to form the high k dielectric material layer include metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), UV-Ozone Oxidation and molecular beam epitaxy (MBE).
  • MOCVD metal organic chemical vapor deposition
  • PVD physical vapor deposition
  • UV-Ozone Oxidation and molecular beam epitaxy
  • the high k dielectric material includes HfO2.
  • the high k dielectric material layer includes metal nitrides, metal silicates or other metal oxides.
  • a capping layer may be further formed on the high k dielectric material layer.
  • the capping layer includes lanthanum oxide (LaO).
  • the capping layer includes aluminum oxide (Al2O3).
  • the capping layer may alternatively includes other suitable material.
  • An interfacial layer may be interposed between the semiconductor substrate 210 and the high k dielectric material layer.
  • the interfacial layer may include a thin silicon oxide layer and is formed on the silicon substrate 210 before forming the high k dielectric material layer.
  • the thin silicon oxide layer may be formed by atomic layer deposition (ALD) or thermal oxidation.
  • the method 100 proceeds to step 104 by forming a first material layer 212 on the substrate 210 and a second material layer 214 on the first material layer 212 .
  • the first and second material layers each has a thickness less than about 100 angstrom.
  • the first material layer 212 includes a metal.
  • the metal layer includes titanium nitride (TiN).
  • the metal layer includes tantalum nitride (TaN), molybdenum nitride (MoN), tungsten nitride (WN), tungsten (W), tantalum carbide (TaC), tantalum carbide nitride (TaCN), titanium aluminum nitride (TiAlN), aluminum, (Al), tungsten (W) or polysilicon.
  • the metal layer is formed by a physical vapor deposition (PVD) technique or other suitable method.
  • the metal layer may have a thickness ranging between 5 angstrom and 100 angstrom. As an example, the metal layer has a thickness of about 50 angstrom.
  • the second material layer 214 is a sacrificial material layer that is implemented during the disclosed process to pattern the metal layer and is removed thereafter.
  • the sacrificial material layer include lanthanum oxide (LaO).
  • the sacrificial material layer includes aluminum oxide (Al2O3).
  • the sacrificial material layer may have a thickness ranging between 5 angstrom and 100 angstrom. As an example, the sacrificial material layer has a thickness of about 20 angstrom.
  • the sacrificial material layer may be formed by PVD or other suitable method.
  • the method 100 proceeds to step 106 by forming a patterned photoresist layer 216 on the substrate 210 .
  • the patterned photoresist layer 216 is used as a mask to pattern the sacrificial material layer and the metal layer. Particularly, the patterned photoresist layer 216 is formed on the sacrificial material layer as illustrated in FIG. 3 .
  • the patterned photoresist layer is formed by a photolithography process.
  • An exemplary photolithography process may include processing steps of photoresist coating, soft baking, mask aligning, exposing, post-exposure baking, developing photoresist and hard baking.
  • the lithography process may implement krypton fluoride (KrF) excimer lasers, argon fluoride (ArF) excimer lasers, ArF immersion lithography, extreme ultra-violet (EUV) or electron-beam writing (e-beam).
  • the photolithography exposing process may also be implemented or replaced by other proper methods such as maskless photolithography, ion-beam writing, and molecular imprint.
  • a hexamethyl disilazane (HMDS) solution is applied to the sacrificial material layer to enhance resist adhesion before the coating on the resist layer.
  • the patterned resist layer 216 defines various openings to expose the underlying sacrificial material layer.
  • the patterned photoresist layer define various gate regions or the openings of the patterned resist layer defines the regions wherein the gate stack material layers is to be removed.
  • the method 100 may include an additional cleaning step after the formation of the patterned resist layer.
  • a cleaning material 218 is applied to the patterned resist layer to clean organic residue or other residues.
  • the cleaning material is capable of removing the organic residue.
  • the cleaning material includes a top anti-reflective coating (TARC) layer.
  • TARC top anti-reflective coating
  • the cleaning material includes solvent, surfactant or polymer ingredient.
  • the method 100 proceeds to step 108 by applying a first wet etchant 220 in a first wet etching process to the substrate to etch the sacrificial material layer using the patterned resist layer as an etch mask.
  • the sacrificial material layer within the openings of the patterned mask is removed by the first wet etching process and the metal layer 212 is exposed thereafter.
  • LaO is used as the sacrificial material layer and the first etching process utilizes hydrochloric acid (HCl).
  • the first wet etching solution includes HCl and water (H2O). The HCl/H2O ration may range from about 1:1 to about 1:1000.
  • the etching duration may have a range between about 5 second and about 5 minute.
  • the first wet etching solution includes a weak acid.
  • the weak acid solution include carbon oxide (CO2) water.
  • the first wet etching solution includes, acetic acid solution, citric acid, boric acid or phosphoric acid.
  • the sacrificial material layer includes Al2O3 and the first wet etchant includes a resist developer.
  • TMAH tetramethylammonium hydroxide
  • a wet etching solution having a pH value below than 7 may be used to etch the LaO sacrificial material layer.
  • a wet etching solution having a pH value higher than 8 may be used to etch the Al2O3 sacrificial material layer.
  • the method 100 proceeds to step 110 by applying a wet etchant 220 to the second material layer 212 .
  • the metal layer 212 includes titanium nitride (TiN).
  • the wet etching process applied to the TiN layer at this step includes an ammonia hydroxide-hydrogen peroxide-water mixture (APM) solution.
  • API ammonia hydroxide-hydrogen peroxide-water mixture
  • the method 100 proceeds to step 112 by applying a stripping solution 222 to remove the patterned resist layer 216 .
  • a stripping solution is used.
  • the stripping solution includes N-methyl-2-pyrrolidine (NMP), cyclohexanol, cyclopentanol, dimethy sulfoxide (DMSO), propylene glycol monomethyl ether (PGME) or Propylene glycol monomethyl ether acetate (PGMEA).
  • the step 112 to remove the patterned resist layer by the stripping solution can be performed between the step 108 to wet etch the sacrificial material layer 214 and the step 110 to wet etch the metal layer 212 .
  • the patterned sacrificial material layer is used as the mask to etch the metal layer.
  • the method 100 proceeds to step 114 by applying a second wet etchant to the substrate to remove the patterned sacrificial material layer.
  • the second wet etchant is similar to the first etchant used at step 108 to pattern the sacrificial material layer.
  • the patterned sacrificial material layer includes LaO and the second etchant includes hydrochloric acid (HCl).
  • the first wet etching solution includes HCl and water (H2O).
  • the HCl/H2O ratio may range from about 1:1 to about 1:1000.
  • the etching duration may have a range between about 5 second and about 5 minute.
  • the first wet etching solution includes a weak acid.
  • the weak acid solution include carbon oxide (CO2) water.
  • the first wet etching solution includes, acetic acid solution, citric acid, boric acid or phosphoric acid.
  • the patterned sacrificial material layer includes Al2O3 and the second wet etchant includes a resist developer.
  • TMAH tetramethylammonium hydroxide
  • the wet etching process to the first material layer may use the patterned sacrificial material layer as an etching mask. Therefore, the etch time may be longer without the resist peeling issue.
  • the wet etchant used to pattern the first material layer has a higher wet etch selectivity relative to the sacrificial material layer.
  • the second material layer is not necessarily thick. The wet etch time to the second material layer can be reduced and the resist peeling issue is also eliminated.
  • the porous TiN will no longer absorb or adhere to PR during and after the associated wet etching process. Therefore, the resist residue on TiN surface is also eliminated.
  • the sacrificial material layer since the sacrificial material layer is completely removed afterward, the resist residue, if any, will be cleaned away as well after the sacrificial material layer is removed.
  • the sacrificial material layer includes metal oxide and can also be used as a stop layer to eliminate H2O2 oxidation to the first metal layer.
  • the first material layer when the sacrificial material layer is formed on the first material layer, the first material layer will have a good lateral etch control.
  • the first material layer may alternatively include other material layer to be patterned.
  • the first material layer includes high k dielectric material layer, such as hafnium oxide.
  • the second material layer can include other suitable metal oxide to be implemented in this wet etch process flow to pattern metal gate stack with reduced or eliminated resist peeling issue.
  • additional patterning steps may be applied to the substrate to further pattern the metal gate stack.
  • the light doped drain (LDD) regions are formed after the formation of the gate stack.
  • a gate spacer may be formed on the sidewalls of the metal gate stack. Then the source and drain regions are formed substantially aligned with the outer edges of the spacers.
  • the gate spacers may have a multilayer structure and may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material.
  • the doped source and drain regions and LDD regions of either an n-type dopant or a p-type dopant are formed by a conventional doping process such as ion implantation.
  • N-type dopant impurities employed to form the associated doped regions may include phosphorus, arsenic, and/or other materials.
  • P-type dopant impurities may include boron, indium, and/or other materials.
  • the multilayer interconnection are further formed.
  • the multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines.
  • the various interconnection features may implement various conductive materials including copper, tungsten and silicide.
  • a damascene process is used to form copper related multilayer interconnection structure.
  • tungsten is used to form tungsten plug in the contact holes.
  • the semiconductor substrate may further include additional isolation features to isolate each from other devices.
  • the isolation features may include different structures and can be formed using different processing technologies.
  • an isolation feature may include shallow trench isolation (STI) features.
  • STI shallow trench isolation
  • the formation of STI may include etching a trench in a substrate and filling the trench by insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride.
  • the filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench.
  • the STI structure may be created using a process sequence such as: growing a pad oxide, forming a low pressure chemical vapor deposition (LPCVD) nitride layer, patterning an STI opening using photoresist and masking, etching a trench in the substrate, optionally growing a thermal oxide trench liner to improve the trench interface, filling the trench with CVD oxide, using chemical mechanical planarization (CMP) to etch back, and using nitride stripping to leave the STI structure.
  • LPCVD low pressure chemical vapor deposition
  • CMP chemical mechanical planarization
  • the semiconductor structure 200 serves only as one example of a device within which various aspects of the method 100 may be implemented.
  • the disclosed semiconductor structure and the method of making the same may be used in other semiconductor devices having metal gate features, such as strained semiconductor substrate, a hetero-semiconductor device or a stress-free isolation structure.
  • the semiconductor structure 200 may include a dynamic random access memory (DRAM) cell, a single electron transistor (SET), and/or other microelectronic devices (collectively referred to herein as microelectronic devices).
  • the semiconductor structure 150 includes FinFET transistors.
  • aspects of the present disclosure are also applicable and/or readily adaptable to other type of transistor, including single-gate transistors, double-gate transistors and other multiple-gate transistors, and may be employed in many different applications, including sensor cells, memory cells, logic cells, and others.
  • the second material layer may include tungsten oxide.
  • the disclosed method is used to form one or more metal-oxide-semiconductor field-effect-transistors (MOSFETs).
  • MOSFETs metal-oxide-semiconductor field-effect-transistors
  • the disclosed method is used to form a metal gate stack in a gate-first process in which the metal gate stack is formed by the disclosed method and remains in the final structure.
  • the disclosed method is used to form a metal gate stack in a hybrid process in which the first type metal gate stack (such as NMOS metal gate stack) is formed by the disclosed method and remains in the final structure.
  • the second type of the metal gate stack (such as PMOS metal gate stack) is formed as a dummy gate structure so that source/drain ion implantation processes and annealing processes can be implemented. Thereafter, a portion of the dummy gate stack is removed and the dummy gate trench is refilled with proper materials.
  • the disclosed method is used to form a metal gate stack in a gate-last process in which a dummy metal gate stack is formed by the disclosed method and is then, after the formation of the source and drain features, replaced with final metal layer materials collectively or individually for NMOS and PMOS.
  • the semiconductor substrate may include an epitaxial layer.
  • the substrate may have an epitaxial layer overlying a bulk semiconductor.
  • the substrate may be strained for performance enhancement.
  • the epitaxial layer may include a semiconductor material different from those of the bulk semiconductor such as a layer of silicon germanium overlying a bulk silicon, or a layer of silicon overlying a bulk silicon germanium formed by a process including SEG.
  • the substrate may include a semiconductor-on-insulator (SOI) structure such as a buried dielectric layer.
  • SOI semiconductor-on-insulator
  • the present disclosure provides a method for making a semiconductor device.
  • the method includes forming a material layer on a substrate; forming a sacrificial layer on the material layer, wherein the material layer and sacrificial layer each as a thickness less than 100 angstrom; forming a patterned photoresist layer on the sacrificial layer; applying a first wet etching process to the substrate to etch the sacrificial layer to form a patterned sacrificial layer using the patterned photoresist layer as a mask; applying a second wet etching process to the substrate to etch the material layer; and applying a third wet etching process to remove the patterned sacrificial layer.
  • the disclosed method may further include applying a wet chemical to the substrate to remove the patterned photoresist layer after the applying the first wet etching process and before the applying the third wet etching process.
  • the applying the wet chemical to the substrate may be implemented before the applying the second wet etching process.
  • the material layer includes titanium nitride (TiN).
  • the sacrificial layer includes lanthanum oxide (LaO).
  • the first and third wet etching processes each includes an etchant having a hydrochloric acid (HCl).
  • the first and third wet etching processes each include applying carbon oxide (CO2) water.
  • the sacrificial layer includes aluminum oxide (Al2O3).
  • the first and third wet etching processes each includes applying a tetramethylammonium hydroxide (TMAH) solution.
  • the first wet etching process may include applying a weak acid solution to the substrate, the weak acid solution is selected from the group consisting of CO2 water, acetic acid solution, citric acid, boric acid and phosphoric acid.
  • the second wet etching process may include applying an ammonia hydroxide-hydrogen peroxide-water mixture (APM) to the substrate.
  • the method may further include applying hexamethyl disilazane (HMDS) to the sacrificial material layer before the forming the patterned photoresist layer.
  • the method may further include applying a cleaning material to the patterned photoresist layer before the applying the first wet etching process.
  • HMDS hexamethyl disilazane
  • the present disclosure also provides another embodiment of a method for making a semiconductor device.
  • the method includes forming a titanium nitride (TiN) layer on a substrate; forming a lanthanum oxide ((LaO) layer on the TiN layer; forming a patterned photoresist layer on the LaO layer; applying a first wet etching process to the substrate to etch the LaO layer to form a patterned LaO layer using the patterned photoresist layer as a mask; applying an ammonia hydroxide-hydrogen peroxide-water mixture (APM) to the substrate to etch the TiN layer; and applying a second wet etching process to remove the LaO layer.
  • TiN titanium nitride
  • APM ammonia hydroxide-hydrogen peroxide-water mixture
  • the disclosed method may further include applying a wet chemical to the substrate to remove the patterned photoresist layer after the applying the first wet etching process and before the applying the APM.
  • the method may further include applying a wet chemical to the substrate to remove the patterned photoresist layer after the applying the APM and before the applying the second wet etching process.
  • the wet chemical may be selected from the group consisting of N-methyl-2-pyrrolidine (NMP), cyclohexanol, cyclopentanol, dimethy sulfoxide (DMSO), propylene glycol monomethyl ether (PGME) and Propylene glycol monomethyl ether acetate (PGMEA).
  • the first and second wet etching processes each includes an etchant having hydrochloric acid (HCl) and water (H2O) in one embodiment.
  • the first and second wet etching processes each may include an etchant having a photoresist developer.
  • the first wet etching process may include applying to the substrate with a weak acid solution selected from the group consisting of carbon oxide (CO2) water, acetic acid solution, citric acid, boric acid and phosphoric acid.
  • the present disclosure also provides a method for making a semiconductor device.
  • the method includes forming a first material layer on a substrate; forming a second material layer on the first material layer; forming a patterned photoresist layer on the second layer; applying a first wet etching process to the substrate to etch the second material layer to form a patterned second material layer using the patterned photoresist layer as a mask; applying an ammonia hydroxide-hydrogen peroxide-water mixture (APM) to the substrate to etch the first material layer; and applying a second wet etching process to remove the patterned first material layer.
  • APM ammonia hydroxide-hydrogen peroxide-water mixture
  • the first material layer may include a material selected from the group consisting of MoN, TaC, TiN, TiAlN, TaN, Al and polysilicon.
  • the applying the APM may include patterning the first material layer to form a metal gate of a field-effect transistor (FET).
  • the second material layer includes lanthanum oxide (LaO) in one embodiment.
  • the first and second wet etching processes each may include applying a chemical solution with a pH value less than about 7. In this case, the first and second wet etching processes each may include applying hydrochloric acid (HCl) and water (H2O).
  • the second material layer may include aluminum oxide (Al2O3).
  • the first and second wet etching processes each may include applying a chemical solution with a pH value higher than about 8.
  • the first and second wet etching processes each may include applying a tetramethylammonium hydroxide (TMAH) solution.
  • TMAH tetramethylammonium hydroxide

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Weting (AREA)
US12/536,805 2008-09-12 2009-08-06 Method of forming a sacrificial layer Expired - Fee Related US8183162B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/536,805 US8183162B2 (en) 2008-09-12 2009-08-06 Method of forming a sacrificial layer
CN2009101691434A CN101789367B (zh) 2008-09-12 2009-09-11 半导体元件的制法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9656608P 2008-09-12 2008-09-12
US12/536,805 US8183162B2 (en) 2008-09-12 2009-08-06 Method of forming a sacrificial layer

Publications (2)

Publication Number Publication Date
US20100093176A1 US20100093176A1 (en) 2010-04-15
US8183162B2 true US8183162B2 (en) 2012-05-22

Family

ID=42532526

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/536,805 Expired - Fee Related US8183162B2 (en) 2008-09-12 2009-08-06 Method of forming a sacrificial layer

Country Status (3)

Country Link
US (1) US8183162B2 (zh)
CN (1) CN101789367B (zh)
TW (1) TWI409871B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9291910B2 (en) 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US10073352B2 (en) 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8669189B2 (en) * 2009-06-25 2014-03-11 Lam Research Ag Method for treating a semiconductor wafer
US8298751B2 (en) * 2009-11-02 2012-10-30 International Business Machines Corporation Alkaline rinse agents for use in lithographic patterning
MY151464A (en) * 2010-12-09 2014-05-30 Mimos Berhad A method of fabricating a semiconductor device
CN103539064B (zh) * 2012-07-10 2016-03-02 无锡华润上华半导体有限公司 Mems结构的牺牲层湿法腐蚀方法及mems结构
CN102956551B (zh) * 2012-11-02 2015-01-07 京东方科技集团股份有限公司 阵列基板的制作方法、阵列基板及显示装置
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
US9567493B2 (en) * 2014-04-25 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. CMP slurry solution for hardened fluid material
CN106057667B (zh) * 2016-07-06 2019-02-05 京东方科技集团股份有限公司 膜层图案的制作方法、基板的制作方法及基板、显示装置
US10504795B2 (en) 2018-03-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning a lanthanum containing layer

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5907791A (en) * 1996-04-25 1999-05-25 Lucent Technologies Inc. Method of making semiconductor devices by patterning a wafer having a non-planar surface
US20040023452A1 (en) * 1998-09-03 2004-02-05 Micron Technology, Inc. Method of passivating an oxide surface subjected to a conductive material anneal
US6749760B2 (en) * 2001-10-26 2004-06-15 Intel Corporation Etchant formulation for selectively removing thin films in the presence of copper, tin, and lead
US20050116317A1 (en) * 2003-11-06 2005-06-02 Hyo-Jong Lee Inductor for a system-on-a-chip and method for manufacturing the same
US7176092B2 (en) * 2004-04-16 2007-02-13 Taiwan Semiconductor Manufacturing Company Gate electrode for a semiconductor fin device
US7732224B2 (en) * 2006-12-07 2010-06-08 Dongbu Hitek Co., Ltd. Metal line pattern of semiconductor device and method of forming the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10312469A1 (de) * 2003-03-20 2004-10-07 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterstruktur
CN1855372A (zh) * 2005-04-18 2006-11-01 力晶半导体股份有限公司 栅极与具有此种栅极的快闪存储器的形成方法
TW200702505A (en) * 2005-07-11 2007-01-16 Ind Tech Res Inst Nanofiber and fabrication methods thereof
CN101140421B (zh) * 2006-09-04 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成光刻胶图案的方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5907791A (en) * 1996-04-25 1999-05-25 Lucent Technologies Inc. Method of making semiconductor devices by patterning a wafer having a non-planar surface
US20040023452A1 (en) * 1998-09-03 2004-02-05 Micron Technology, Inc. Method of passivating an oxide surface subjected to a conductive material anneal
US6749760B2 (en) * 2001-10-26 2004-06-15 Intel Corporation Etchant formulation for selectively removing thin films in the presence of copper, tin, and lead
US20050116317A1 (en) * 2003-11-06 2005-06-02 Hyo-Jong Lee Inductor for a system-on-a-chip and method for manufacturing the same
US7176092B2 (en) * 2004-04-16 2007-02-13 Taiwan Semiconductor Manufacturing Company Gate electrode for a semiconductor fin device
US7732224B2 (en) * 2006-12-07 2010-06-08 Dongbu Hitek Co., Ltd. Metal line pattern of semiconductor device and method of forming the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9291910B2 (en) 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US10073352B2 (en) 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates

Also Published As

Publication number Publication date
CN101789367B (zh) 2012-04-04
US20100093176A1 (en) 2010-04-15
CN101789367A (zh) 2010-07-28
TW201021114A (en) 2010-06-01
TWI409871B (zh) 2013-09-21

Similar Documents

Publication Publication Date Title
US8183162B2 (en) Method of forming a sacrificial layer
US8163655B2 (en) Method for forming a sacrificial sandwich structure
US8222149B2 (en) Method for photoresist pattern removal
US8012817B2 (en) Transistor performance improving method with metal gate
US7939392B2 (en) Method for gate height control in a gate last process
US8030718B2 (en) Local charge and work function engineering on MOSFET
US8202776B2 (en) Method for protecting a gate structure during contact formation
US8258587B2 (en) Transistor performance with metal gate
US7977181B2 (en) Method for gate height control in a gate last process
US8980706B2 (en) Double treatment on hard mask for gate N/P patterning
US7776755B2 (en) Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process
US8791001B2 (en) N2 based plasma treatment and ash for HK metal gate protection
US20100078728A1 (en) Raise s/d for gate-last ild0 gap filling
US11411001B2 (en) Integrated circuit and manufacturing method thereof
KR20160134440A (ko) 반도체 디바이스를 위한 이중 질화물 스트레서 및 그 제조 방법
US8304349B2 (en) Method to integrate gate etching as all-in-one process for high K metal gate
CN110416303B (zh) 半导体结构及形成集成电路结构的方法
US8877577B2 (en) Semiconductor device and manufacturing method thereof
US9362123B2 (en) Structure and method for integrated devices on different substartes with interfacial engineering

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CHANG, CHING-YU;REEL/FRAME:023712/0174

Effective date: 20090814

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CHANG, CHING-YU;REEL/FRAME:023712/0174

Effective date: 20090814

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Expired due to failure to pay maintenance fee

Effective date: 20200522