TWI409871B - 半導體元件之製法 - Google Patents
半導體元件之製法 Download PDFInfo
- Publication number
- TWI409871B TWI409871B TW098130691A TW98130691A TWI409871B TW I409871 B TWI409871 B TW I409871B TW 098130691 A TW098130691 A TW 098130691A TW 98130691 A TW98130691 A TW 98130691A TW I409871 B TWI409871 B TW I409871B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- applying
- semiconductor device
- wet etching
- fabricating
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 124
- 239000000463 material Substances 0.000 claims abstract description 107
- 239000004065 semiconductor Substances 0.000 claims abstract description 67
- 239000000758 substrate Substances 0.000 claims abstract description 62
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 59
- 238000001039 wet etching Methods 0.000 claims abstract description 53
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 claims description 38
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 30
- 238000004519 manufacturing process Methods 0.000 claims description 27
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 24
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 20
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 19
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 18
- 239000000203 mixture Substances 0.000 claims description 14
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 12
- 239000002253 acid Substances 0.000 claims description 12
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 claims description 12
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 claims description 11
- ZDZIJHSDFUXADX-UHFFFAOYSA-N azanium hydrogen peroxide hydroxide hydrate Chemical compound O.OO.[OH-].[NH4+] ZDZIJHSDFUXADX-UHFFFAOYSA-N 0.000 claims description 10
- 239000000126 substance Substances 0.000 claims description 10
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 9
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 9
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 8
- 238000000059 patterning Methods 0.000 claims description 8
- 239000011538 cleaning material Substances 0.000 claims description 7
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 claims description 6
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 claims description 6
- 239000004327 boric acid Substances 0.000 claims description 6
- 239000001569 carbon dioxide Substances 0.000 claims description 6
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 6
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims description 6
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 claims description 6
- IXCSERBJSXMMFS-UHFFFAOYSA-N hcl hcl Chemical compound Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 claims description 5
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 4
- HPXRVTGHNJAIIH-UHFFFAOYSA-N cyclohexanol Chemical compound OC1CCCCC1 HPXRVTGHNJAIIH-UHFFFAOYSA-N 0.000 claims description 4
- MKTJTLRLXTUJCM-UHFFFAOYSA-N azanium;hydrogen peroxide;hydroxide Chemical compound [NH4+].[OH-].OO MKTJTLRLXTUJCM-UHFFFAOYSA-N 0.000 claims description 3
- XCIXKGXIYUWCLL-UHFFFAOYSA-N cyclopentanol Chemical compound OC1CCCC1 XCIXKGXIYUWCLL-UHFFFAOYSA-N 0.000 claims description 3
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 claims description 3
- RWRDLPDLKQPQOW-UHFFFAOYSA-N tetrahydropyrrole Substances C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 2
- 239000001257 hydrogen Substances 0.000 claims description 2
- 238000003631 wet chemical etching Methods 0.000 claims description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims 1
- 238000003486 chemical etching Methods 0.000 claims 1
- 238000010030 laminating Methods 0.000 claims 1
- 229910052760 oxygen Inorganic materials 0.000 claims 1
- 239000001301 oxygen Substances 0.000 claims 1
- 239000010410 layer Substances 0.000 description 198
- 229910052751 metal Inorganic materials 0.000 description 50
- 239000002184 metal Substances 0.000 description 50
- 239000000243 solution Substances 0.000 description 33
- 238000005530 etching Methods 0.000 description 15
- 238000002955 isolation Methods 0.000 description 11
- 229910044991 metal oxide Inorganic materials 0.000 description 8
- 150000004706 metal oxides Chemical class 0.000 description 8
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 229910003468 tantalcarbide Inorganic materials 0.000 description 6
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 239000002904 solvent Substances 0.000 description 3
- 125000006850 spacer group Chemical group 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- -1 tantalum carbide nitride Chemical class 0.000 description 3
- FZIPCQLKPTZZIM-UHFFFAOYSA-N 2-oxidanylpropane-1,2,3-tricarboxylic acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O.OC(=O)CC(O)(C(O)=O)CC(O)=O FZIPCQLKPTZZIM-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- BTBUEUYNUDRHOZ-UHFFFAOYSA-N Borate Chemical compound [O-]B([O-])[O-] BTBUEUYNUDRHOZ-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 239000007864 aqueous solution Substances 0.000 description 2
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000004377 microelectronic Methods 0.000 description 2
- QVLTXCYWHPZMCA-UHFFFAOYSA-N po4-po4 Chemical compound OP(O)(O)=O.OP(O)(O)=O QVLTXCYWHPZMCA-UHFFFAOYSA-N 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- XCQGJLOXOOACNB-UHFFFAOYSA-N 3-methylsulfanyl-4-oxo-6,7-dihydro-5h-2-benzothiophene-1-carbonitrile Chemical compound C1CCC(=O)C2=C(SC)SC(C#N)=C21 XCQGJLOXOOACNB-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 206010036790 Productive cough Diseases 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000013590 bulk material Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 210000003298 dental enamel Anatomy 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- VGRFVJMYCCLWPQ-UHFFFAOYSA-N germanium Chemical compound [Ge].[Ge] VGRFVJMYCCLWPQ-UHFFFAOYSA-N 0.000 description 1
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium oxide Inorganic materials O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- PVADDRMAFCOOPC-UHFFFAOYSA-N oxogermanium Chemical compound [Ge]=O PVADDRMAFCOOPC-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 210000003802 sputum Anatomy 0.000 description 1
- 208000024794 sputum Diseases 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Weting (AREA)
Description
本發明係有關於一種半導體元件之製法,且特別是有關於一種形成犧牲層之方法。
隨著積體電路工業技術節點(node)的進步,目前已採用高介電常數材料(high k dielectric material)與金屬以形成金屬氧化半導體場效電晶體(metal-oxide-semiconductor field-effect transistors,MOSFETs)之金屬閘極堆疊。在形成金屬閘極堆疊結構的方法中,沉積並蝕刻金屬層。在金屬蝕刻的製程中,其製程寬裕度(processing window)不足且光阻有剝落之虞。此外,暴露在光阻外的金屬膜無法藉由蝕刻完全移除,且圖案化之光阻會從其所覆蓋的金屬膜上剝落。再者,濕式蝕刻製程所使用的蝕刻溶液可能會滲入圖案化光阻與金屬膜之間的界面,並且氧化位於圖案化光阻之下的金屬膜,將導致金屬膜變質以及元件效能降低。
本發明提供一種半導體元件之製法,包括以下步驟:形成一材料層於一基材之上;形成一犧牲層於該材料層之上,其中該材料層與該犧牲層各自具有一厚度小於100埃;形成一圖案化光阻層於該犧牲層之上;利用該圖案化光阻層作為一罩幕,施加一第一濕式蝕刻製程以蝕刻該犧牲層,藉以形成一圖案化犧牲層;施加一第二濕式蝕刻製程以蝕刻該材料層;以及施加一第三濕式蝕刻製程以移除該圖案化犧牲層。
本發明另外提供一種半導體元件之製法,包括以下步驟:形成一氮化鈦(TiN)層於一基材上;形成一氧化鑭(LaO)層於該氮化鈦(TiN)層上;形成一圖案化光阻層於該氧化鑭(LaO)層上;利用該圖案化光阻層作為一罩幕,對該基材施加一第一濕式蝕刻製程以蝕刻該氧化鑭(LaO)層,藉以形成一圖案化氧化鑭(LaO)層;對該基材施加一氫氧化銨-過氧化氫-水之混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)以蝕刻該氮化鈦(TiN)層;以及施加一第二濕式蝕刻製程於該基材以移除該氧化鑭(LaO)層。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
以下特舉出本發明之實施例,並配合所附圖式作詳細說明。以下實施例的元件和設計係為了簡化本發明,並非用以限定本發明。雖然本發明提供許多實施例用以揭露本發明之應用,並非用以限定本發明。此外,本發明於各個實施例中可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述結構之間的關係。再者,說明書中提及形成第一特徵位於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,因此,第一特徵與第二特徵並非直接接觸。
第1圖為本發明實施例之流程圖,其顯示一具有金屬閘極堆疊結構之半導體元件的製法100。第2圖至第8圖顯示本發明實施例之半導體結構200於各個製程階段的剖面圖。半導體元件之製法100描述於第1圖至第8圖。
請參見第1圖和第2圖,半導體元件的製法100起始於步驟102,其提供一半導體基材210。此半導體基材210包括矽。另外,基材210包括鍺、矽化鍺或其他適合的半導體材料,例如鑽石、碳化矽或砷化鎵。此外,基材210尚可包括其他特徵及/或材料層,例如形成於基材之中的隔離結構。基材210可包括配置或耦合各種p型摻雜區域或n型摻雜區域以形成各種元件和功能性特徵。於各個步驟中可利用例如離子佈植或擴散等製程以形成所有的摻雜特徵。基材210可包括其他特徵,例如淺溝隔離結構(shallow trench isolation,STI)。
基材210尚可包括各種介電-金屬-閘極-堆疊材料層。於一實施例中,高介電常數(high-k)材料層形成於半導體基材210之上。可藉由一合適的製程形成高介電常數(high-k)材料層,例如原子層沉積法(atomic layer deposition,ALD)。其他形成高介電常數(high-k)材料層之方法例如金屬有機化學氣相沉積法(metal organic chemical vapor deposition,MOCVD)、物理氣相沉積法(physical vapor deposition,PVD)、紫外光-臭氧氧化法(UV-ozone oxidation)和分子束磊晶法(molecular beam epitaxy,MBE)。於一實施例中,高介電常數(high-k)材料包括氧化鉿(HfO2
)。另外,高介電常數(high-k)材料層包括金屬氮化物(metal nitride)、金屬矽化物(metal silicate)或其他金屬氧化物。
蓋層(capping layer)可形成於高介電常數(high-k)材料層之上。於一實施例中,蓋層包括氧化鑭(LaO)。於其他實施例中,蓋層包括氧化鋁(Al2
O3
)。此外,蓋層可包括其他適合的材料。
界面層(interfacial layer,IL)可設置於半導體基材210與高介電常數(high-k)材料層之間。界面層可包括一氧化矽薄層,且在高介電常數(high-k)材料層形成前形成於基材210之上。此氧化矽薄層可藉由原子層沉積法(ALD)或熱氧化法形成。
請參見第1圖與第3圖,半導體元件的製法100接著進行步驟104,其形成一第一材料層212於基材210之上與一第二材料層214於第一材料層212之上。第一材料層212與第二材料層214之厚度少於100埃。第一材料層212包括一金屬,而金屬層包括氮化鈦(TiN)。另外,金屬層包括氮化鉭(tantalum nitride,TaN)、氮化鉬(molybdenum nitride,MoN)、氮化鎢(tungsten nitride,WN)、鎢(tungsten,W)、碳化鉭(tantalum carbide,TaC)、氮碳化鉭(tantalum carbide nitride,TaCN)、氮化鋁鈦(titanium aluminum nitride,TiAlN)、鋁(aluminu)或多晶矽(polysilicon)。此金屬層可利用物理氣相沉積法(PVD)或其他適合的方法形成。金屬層之厚度介於5埃至100埃之間。例如,金屬層之厚度為約50埃。第二材料層214為一犧牲材料層,其目的在於將金屬層圖案化而於上述步驟中實施,並於金屬層圖案化後被移除。該犧牲材料層包括氧化鑭(LaO)。另外,犧牲材料層也可包括氧化鋁(Al2
O3
)。犧牲材料層之厚度介於5埃至100埃之間。例如,犧牲材料層之厚度為約20埃。犧牲材料層可利用物理氣相沉積法(PVD)或其他適合的方法形成。
請參見第1圖與第3圖,半導體元件的製法100接著進行步驟106,其形成一圖案化光阻層216於基材210之上。此圖案化光阻層216作為一罩幕,用以圖案化犧牲材料層與金屬層。如第3圖所示,圖案化光阻層216形成於犧牲材料層之上。此圖案化光阻層係利用光微影製程(photolithography process)形成。微影製程通常包括以下步驟:光阻塗佈、軟烤、光罩對準、曝光、曝光後烘烤、顯影光阻與硬烤。微影製程(lithography process)可使用氟化氪準分子雷射(KrF excimer laser)、氟化氬準分子雷射(ArF excimer laser)、氟化氬浸潤式微影(ArF immersion lithography)、極紫外光微影(extreme ultra-violet,EUV)或電子束曝光(electron-beam writing,e-beam)。光微影曝光製程(photolithography exposing process)亦可用其他適合的方法取代,例如無光罩微影(maskless photolithography)、離子束曝光(ion-beam writing)及分子壓印(molecular imprint)。此外,在塗佈光阻層之前,六甲基二矽氮烷(hexamethyl disilazane,HMDS)溶液被施加於該犧牲材料層以增進光阻附著力。於一實施例中,圖案化光阻層216定義出許多開口,以露出下方的犧牲材料層曝光。圖案化光阻層定義出許多閘極區域(gate regions),或者可說此圖案化光阻層之開口定義出閘極堆疊材料層需被移除的區域。
請參見第1圖與第4圖,於形成圖案化光阻層之後,半導體元件的製法100可包括一額外的清潔步驟。於此步驟中,清潔材料218被施加於圖案化光阻層用以清潔有機殘餘物或其他殘餘物。清潔材料能夠移除有機殘餘物。舉例而言,清潔材料包括頂端抗反射層(top anti-reflective coating layer,TARC)。於此例中,TARC層塗佈於基材之上,且之後被烘烤與潤洗。清潔材料可替代地或額外地包括溶劑、界面活性劑(surfactant)或聚合物成分。
請參見第1圖與第5圖,半導體元件的製法100接著進行步驟108,使用圖案化光阻層作為罩幕,對基材施加第一濕式蝕刻劑220來進行第一濕式蝕刻製程,用以移除犧牲材料層。藉由第一濕式蝕刻製程可移除位於圖案化罩幕開口處的犧牲材料層,隨後即可暴露出該處之金屬層212。於一實施例中,此犧牲材料層係採用氧化鑭(LaO),且其第一濕式蝕刻製程係利用氫氯酸(HCl)進行。例如,此第一濕式蝕刻溶液包括氫氯酸(HCl)及水,其氫氯酸/水的比例範圍介於1:1至約1:1000,且其蝕刻持續時間範圍介於約5秒鐘至約5分鐘。於另一實施例中,其第一濕式蝕刻溶液包括一弱酸,例如,此一弱酸溶液包括二氧化碳水(CO2
water)。於又一實施例中,第一濕式蝕刻溶液包括:醋酸溶液(acetic acid solution)、檸檬酸溶液(citric acid)、硼酸(boric acid)或磷酸(phosphoric acid)。於再一實施例中,犧牲材料層包括氧化鋁(Al2
O3
),且第一濕式蝕刻劑包括一光阻顯影劑(resist developer),例如,使用氫氧化四甲基銨(tetramethylammonium hydroxide,TMAH)溶液以移除該氧化鋁(Al2
O3
)犧牲材料層。進一步而言,在前述實施例中,可用pH值低於7的濕式蝕刻溶液蝕刻氧化鑭(LaO)犧牲材料層;而可用pH值低高於8的濕式蝕刻溶液蝕刻氧化鋁(Al2
O3
)犧牲材料層。
請參見第1圖與第6圖,半導體元件的製法100接著進行步驟110,對金屬層212施加一濕式蝕刻劑220。於一實施例中,金屬層212包括氮化鈦(TiN),於濕式蝕刻製程中施加於此氮化鈦(TiN)的蝕刻劑包括一氫氧化銨-過氧化氫-水之混合物(APM)溶液。
請參見第1圖與第7圖,半導體元件的製法100接著進行步驟112,施加一剝離溶液(stripping solution)222以移除圖案化光阻層216。在此係利用剝離溶液(stripping solution)取代電漿灰化(plasma ashing)以移除光阻。在許多實施例中,剝離溶液(stripping solution)包括N-甲基-2-吡咯酮(N-methyl-2-pyrrolidine,NMP)、環己醇(cyclohexanol)、環戊醇(cyclopentanol)、二甲基亞碸(dimethyl sulfoxide,DMSO)、丙二醇甲醚(propylene glycol monomethyl ether,PGME)或丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA)。此外,利用剝離溶液(stripping solution)移除圖案化光阻層的步驟112,此步驟可於濕蝕刻犧牲材料層214的步驟108與濕蝕刻金屬層212的步驟110之間實施。於此例中,圖案化犧牲材料層係作為蝕刻金屬層的罩幕。
請參見第1圖與第8圖,半導體元件的製法100接著進行步驟114,施加一第二濕式蝕刻劑於基材,用以移除圖案化之犧牲材料層。此第二濕式蝕刻劑類似於步驟108中用於圖案化該犧牲材料層之第一濕式蝕刻劑。於一實施例中,此圖案化之犧牲材料層包括氧化鑭(LaO),且第二蝕刻製程係利用氫氯酸(HCl)進行。例如,第一濕式蝕刻溶液包括氫氯酸(HCl)及水,其氫氯酸/水的比例範圍介於1:1至約1:1000,且其蝕刻持續時間範圍介於約5秒鐘至約5分鐘。於另一實施例中,第一濕式蝕刻溶液包括弱酸,例如,弱酸溶液包括二氧化碳水(CO2
water)。於又一實施例中,第一濕式蝕刻溶液包括:醋酸溶液(acetic acid solution)、檸檬酸溶液(citric acid)、硼酸(boric acid)或磷酸(phosphoric acid)。於再一實施例中,犧牲材料層包括氧化鋁(Al2
O3
),且第二濕式蝕刻劑包括光阻顯影劑(resist developer),例如,使用氫氧化四甲基銨(tetramethylammonium hydroxide,TMAH)溶液以移除氧化鋁(Al2
O3
)犧牲材料層。
本發明所揭露之方法在各種應用領域中表現出許多的優點,可想而知的是,本發明所揭露的每一實施例各自表現出其不同的優點,並沒有任何一個特殊的優點是所有實施例所不可或缺的。例如,利用犧牲材料層對第一材料層(例如氮化鈦,TiN)進行濕式蝕刻製程時,可使用圖案化犧牲材料層作為蝕刻罩幕。因此可將蝕刻時間延長而不會發生光阻剝落(peeling)的問題。於另一實施例中,當使用於第一材料層圖案化的濕式蝕刻劑對於犧牲材料層具有較高之濕式蝕刻反應選擇性(wet etch selectivity)時,則第二材料層不必太厚,可以減少對第二材料層進行濕式蝕刻的時間,且可解決光阻剝落的問題。於另一例中,在相關的蝕刻步驟之中及蝕刻步驟之後,多孔性氮化鈦(TiN)將不再吸附或黏附光阻,因此可解決氮化鈦(TiN)表面殘留光阻殘餘物的問題。此外,由於犧牲材料層之後會被完全地移除,因此即使有任何光阻殘餘物殘留,此光阻殘餘物也將隨著犧牲材料層的移除而被清除。於又一實施例中,此犧牲材料層包括金屬氧化物而能夠作為一停止層(stop layer),以阻止過氧化氫(H2
O2
)對第一材料層進行氧化作用。於再一實施例中,當犧牲材料層形成於第一材料層之上時,則第一材料層將得到良好的橫向蝕刻控制(lateral etch control)
雖然圖中並未顯示,但是本發明可存在其他的製程步驟,用以形成各種摻雜區域(例如源極區或汲極區)或元件特徵(例如多層內連線,multilayer interconnection,MLI)。於一實施例中,第一材料層可另外包括其他材料層,以進行圖案化。例如,第一材料層包括高介電常數材料層,例如氧化鉿(hafnium oxide)。於另一實施例中,第二材料層可包括其他適合的金屬氧化物,其功能在於進行濕式蝕刻製程流程以圖案化金屬閘極堆疊時,能夠減輕或消除光阻剝除的問題。
於另一實施例中,可對基材進行其他的圖案化步驟(patterning steps),用以進一步圖案化金屬閘極堆疊。於再一實施例中,形成閘極堆疊之後,可形成輕摻雜汲極(light doped drain,LDD)區域。另外,也可形成閘極間隙壁(gate spacer)於金屬閘極堆疊之側壁。之後,於對齊閘極間隙壁外緣部份形成源極和汲極區域。此閘極間隙壁可能具有一多層結構,包括氧化矽、氮化矽、氮氧化矽或其他介電材料。可藉由一習知的摻雜製程,例如離子佈植法(ion implantation),形成經過摻雜的源極與汲極區域以及摻雜一n型摻雜物或一p型摻雜物之輕摻雜汲極(light doped drain,LDD)區域。可用於形成n型摻雜區域的n型摻雜物包括磷、砷及/或其他材料;可用於形成p型摻雜區域的p型摻雜物包括硼、銦及/或其他材料。
接著進一步形成多層內連線(MLI),此多層內連線(MLI)包括垂直式內連線(例如傳統的介層插塞(vias)或接觸插塞(contacts))以及水平式內連線(例如金屬線)。各種內連線特徵可施加不同的導電性材料,包括銅、鎢及矽化物。於一實施例中,利用鑲嵌製程(damascene process)形成含銅之多層內連線(MLI)結構。於另一實施例中,利用鎢於接觸孔中形成鎢插塞(plug)。
半導體基材可能具有其他的隔離特徵(isolation features),藉以使每一元件與其他元件隔離。隔離特徵(isolation features)包括多種不同結構,並且可利用多種不同製程技術形成。例如,隔離特徵(isolation features)可包括淺溝隔離結構(shallow trench isolation,STI)。此淺溝隔離結構(STI)的形成包括在基材中蝕刻出溝槽,並使用絕緣材料(例如氧化矽、氮化矽或氮氧化矽)填充此溝槽。經填充之溝槽可具有多層結構,例如以氮化矽與熱氧化襯層(thermal oxide liner layer)填充溝槽。於一實施例中,此淺溝隔離結構(STI)的形成包括一連串的步驟,例如:成長一氧化物襯墊(pad oxide),形成一低壓化學氣相沉積(LPCVD)氮化物層,利用光阻作為罩幕進行淺溝隔離結構(STI)開口的圖案化,在基材中蝕刻出溝槽,視需要地成長一熱氧化襯層(thermal oxide liner layer)以改善溝槽界面,以化學氣相沉積(CVD)氧化物填充溝槽,使用化學機械平坦化(chemical mechanical planarization,CMP)製程進行回蝕刻(etch back),最後使用氮化物剝除法留下淺溝隔離結構(STI)。
此半導體製法100可應用於各種元件,其中半導體結構200只是用以舉例說明,本發明中所揭露之半導體結構及其製法也可應用於其他含有金屬閘極之半導體元件,例如應變半導體(strained semiconductor)基材、異質半導體(hetero-semiconductor)元件或無應力隔絕結構(stress-free isolation structure)。
本發明所揭露之內容並非侷限於包括金屬氧化物半導體電晶體(MOS transistor)之半導體結構,尚可延伸應用於其他具有金屬閘極堆疊之積體電路。例如,半導體結構200可包括一動態隨機存取記憶體(dynamic random access memory,DRAM)單元、一單電子電晶體(single electron transistor,SET)及/或其他微電子元件(在此通稱為微電子元件)。於另一實施例中,半導體結構150包括一鰭式場效電晶體(FinFET transistors)。當然,在此所揭露之內容也可應用及/或調整適用於其他類型之電晶體,包括單閘極電晶體(single-gate transistors)、雙閘極電晶體(double-gate transistors)及其他多閘極電晶體(multiple-gate transistors),此外,也可使用於其他不同之應用領域,包括感測器單元、記憶單元、邏輯單元及其他領域。
雖然本發明已以數個較佳實施例揭露如上,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾。於一實施例中,第二材料層可包括氧化鎢。於另一實施例中,利用本發明所揭露之方法形成一或多個金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect-transistors,MOSFETs)。於又一實施例中,利用本發明所揭露之方法於先閘極製程(gate-first process)中形成金屬閘極堆疊,並使此金屬閘極堆疊維持其最終結構。於再一實施例中,利用本發明所揭露之方法,於混合製程(hybrid process)中形成一金屬閘極堆疊,其中第一類型金屬閘極堆疊(例如N通道金屬氧化物半導體金屬閘極堆疊(NMOS metal gate stack))係利用本發明所揭露之方法形成,並維持其最終結構。而第二類型金屬閘極堆疊(例如P通道金屬氧化物半導體金屬閘極堆疊(PMOS metal gate stack))係形成一虛設閘極結構,因此可實施源極/汲極離子佈植(ion implantation)製程與退火(annealing)製程。隨後移除一部分之虛設閘極堆疊,並且以適當的材料再次填充此虛設閘極溝槽。於再一實施例中,利用本發明所揭露之方法於後閘極(gate-last process)中形成一金屬閘極堆疊,其中虛設金屬閘極堆疊係利用本發明所揭露之方法形成,於源極與汲極形成之後,對於N通道金屬氧化物半導體(NMOS)與P通道金屬氧化物半導體(PMOS)之虛設閘極,可同時或分別以最後的金屬層材料取代之。
於另一實施例中,半導體基材可包括一磊晶層(epitaxial layer)。例如,基材可具有位於半導體塊材上之磊晶層。此外,可使基材產生應變(strained)以增進效能。舉例而言,形成磊晶層之製程包括選擇性區域磊晶成長(selective epitaxial growth,SEG)製程,此磊晶層可包括不同於塊材之半導體材料,例如位於矽塊材上之鍺化矽層,或是位於鍺化矽塊材上之矽層。此外,基材可包括絕緣體上覆半導體(semiconductor-on-insulator,SOI)結構,例如埋藏介電層(buried dielectric layer)。
本發明所揭露之內容提供一製造半導體元件之方法。此方法包括於基材上形成一材料層;於材料層上形成一犧牲層,其中材料層與該犧牲層各自具有小於100埃之厚度;形成一圖案化光阻層於犧牲層之上;利用圖案化光阻層作為一罩幕,施加一第一濕式蝕刻製程以蝕刻犧牲層,藉以形成一圖案化犧牲層;施加一第二濕式蝕刻製程以蝕刻材料層;以及施加一第三濕式蝕刻製程以移除圖案化犧牲層。
上述方法於施加第一濕式蝕刻製程之後與施加第三濕式蝕刻製程之前,尚包括施加一濕式化學蝕刻以移除圖案化光阻層。此濕式化學蝕刻可於施加第二濕式蝕刻製程之前進行。於一實施例中,材料層包括氮化鈦(TiN)。於另一實施例中,犧牲層包括氧化鑭(LaO)。第一與第三濕式蝕刻製程各自包括含有氫氯酸(HCl)之蝕刻劑。第一與第三濕式蝕刻製程各自包括施加二氧化碳水(CO2
water)。於又一實施例中,犧牲層包括氧化鋁(Al2
O3
)。第一與第三濕式蝕刻製程各自包括施加氫氧化四甲基銨(tetramethylammonium hydroxide,TMAH)溶液。第一濕式蝕刻製程包括對基材施加一弱酸溶液,此弱酸溶液包括二氧化碳水(CO2
water)、醋酸溶液(acetic acid solution)、檸檬酸溶液(citric acid)、硼酸(boric acid)或磷酸(phosphoric acid)。第二濕式蝕刻製程包括對基材施加氫氧化銨-過氧化氫-水之混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)。此方法於形成圖案化光阻層之前,尚包括對犧牲層施加六甲基二矽氮烷(hexamethyl disilazane,HMDS)。此方法於施加第一濕式蝕刻製程之前,尚包括對此圖案化光阻層施加一清潔材料。
本發明也揭露半導體元件製法之另一實施例。此方法包括形成一氮化鈦(TiN)層於基材上;形成一氧化鑭
(LaO)層於氮化鈦(TiN)層上;形成一圖案化光阻層於氧化鑭(LaO)層上;利用圖案化光阻層作為一罩幕,對基材施加一第一濕式蝕刻製程以蝕刻氧化鑭(LaO)層,藉以形成一圖案化氧化鑭(LaO)層;對基材施加氫氧化銨-過氧化氫-水之混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)以蝕刻氮化鈦(TiN)層;以及施加一第二濕式蝕刻製程於基材以移除氧化鑭(LaO)層。
上述方法於施加第一濕式蝕刻製程之後與施加氫氧化銨-過氧化氫及水-混合物(APM)之前,尚包括施加一濕式化學蝕刻以移除圖案化光阻層。此方法於施加氫氧化銨-過氧化氫-水之混合物(APM)之後與施加第二濕式蝕刻製程之前,尚包括施加一濕式化學蝕刻以移除圖案化光阻層。濕式化學蝕刻製程包括施加N-甲基-2-吡咯酮(N-methyl-2-pyrrolidine,NMP)、環己醇(cyclohexanol)、環戊醇(cyclopentanol)、二甲基亞碸(dimethyl sulfoxide,DMSO)、丙二醇甲醚(propylene glycol monomethyl ether,PGME)或丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA)。
於一實施例中,第一與第二濕式蝕刻製程各自包括含有氫氯酸(HCl)及水(H2
O)之蝕刻劑。此外,第一與第二濕式蝕刻製程各自包括含有光阻顯影劑(resist developer)之蝕刻劑。第一濕式蝕刻製程包括對基材施加一弱酸溶液,其中弱酸溶液包括二氧化碳水(CO2
water)、醋酸溶液(acetic acid solution)、檸檬酸溶液(citric acid)、硼酸(boric acid)或磷酸(phosphoric acid)。
本發明所揭露之內容也提供一製造半導體元件之方法。此方法包括形成一第一材料層於基材上;形成一第二材料層於第一材料層上;形成一圖案化光阻層於第二材料層上;利用圖案化光阻層作為一罩幕,對基材施加一第一濕式蝕刻製程以蝕刻第二材料層,藉以形成一圖案化第二材料層;對基材施加氫氧化銨-過氧化氫-水之混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)以蝕刻第一材料層;以及施加一第二濕式蝕刻製程於基材以移除圖案化第一材料層。第一材料層可包括氮化鉬(molybdenum nitride,MoN)、碳化鉭(tantalum carbide,TaC)、氮化鈦(TiN)、氮化鋁鉭(titanium aluminum nitride,TiAlN)、氮化鉭(tantalum nitride,TaN)、鋁(aluminu)或多晶矽(polysilicon)。施加氫氧化銨-過氧化氫及水-混合物(APM)之目的包括圖案化第一材料層,藉以形成一場效電晶體(FET)之金屬閘極。於一實施例中,第二材料層可包括氧化鑭(LaO)。第一與第二濕式蝕刻製程可各自包括施加pH值約小於7之化學溶液。於此例中,第一與第二濕式蝕刻製程各自包括施加氫氯酸(HCl)及水(H2
O)。第二材料層可包括氧化鋁(Al2
O3
)。於此例中,第一與第二濕式蝕刻製程可各自包括施加pH值約高於8之化學溶液。第一與第二濕式蝕刻製程各自包括施加氫氧化四甲基銨(tetramethylammonium hydroxide,TMAH)溶液。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...半導體元件的製法
102...提供半導體基材
104...形成金屬層與犧牲材料層於基材之上
106...形成圖案化光阻層於基材之上
108...對基材施加第一濕式蝕刻製程以圖案化犧牲材料層
110...施加APM溶液以圖案化金屬層
112...施加溶劑剝離製程以移除圖案化光阻層
114...對基材施加第二濕式蝕刻製程以移除犧牲材料層
200...半導體結構
210...基材
212...第一材料層(或金屬層)
214...第二材料層(或犧牲層)
216...圖案化光阻層
218...清潔材料
220...第一濕式蝕刻劑
222...剝離溶液
第1圖為一流程圖,用以說明本發明之流程。
第2~8圖為一系列剖面圖,用以說明本發明一實施例之流程。
100...半導體元件的製法
102...提供半導體基材
104...形成金屬層與犧牲材料層於基材之上
106...形成圖案化光阻層於基材之上
108...對基材施加第一濕式蝕刻製程以圖案化犧牲材料層
110...施加APM溶液以圖案化金屬層
112...施加溶劑剝離製程以移除圖案化光阻層
114...對基材施加第二濕式蝕刻製程以移除犧牲材料層
Claims (18)
- 一種半導體元件之製法,包括以下步驟:形成一材料層於一基材之上;形成一犧牲層於該材料層之上,其中該材料層與該犧牲層各自具有小於100埃之厚度;形成一圖案化光阻層於該犧牲層之上;利用該圖案化光阻層作為一罩幕,施加一第一濕式蝕刻製程以蝕刻該犧牲層,藉以形成一圖案化犧牲層;施加一濕式化學蝕刻以移除該圖案化光阻層;施加一第二濕式蝕刻製程以蝕刻該材料層;以及施加一第三濕式蝕刻製程以移除該圖案化犧牲層。
- 如申請專利範圍第1項所述之半導體元件之製法,其中該材料層包括氮化鈦(TiN)。
- 如申請專利範圍第1項所述之半導體元件之製法,其中該犧牲層包括氧化鑭(LaO)。
- 如申請專利範圍第3項所述之半導體元件之製法,其中該第一與第三濕式蝕刻製程各自包括含有氫氯酸(HCl)之蝕刻劑。
- 如申請專利範圍第3項所述之半導體元件之製法,其中該第一與第三濕式蝕刻製程各自包括施加二氧化碳水(CO2 water)。
- 如申請專利範圍第1項所述之半導體元件之製法,其中該犧牲層包括氧化鋁(Al2 O3 )。
- 如申請專利範圍第6項所述之半導體元件之製法,其中該第一與第三濕式蝕刻製程各自包括施加氫氧 化四甲基銨(tetramethylammonium hydroxide,TMAH)溶液。
- 如申請專利範圍第1項所述之半導體元件之製法,其中該第一濕式蝕刻製程包括施加一弱酸溶液,其中該弱酸溶液包括二氧化碳水(CO2 water)、醋酸溶液(acetic acid solution)、檸檬酸溶液(citric acid)、硼酸(boric acid)或磷酸(phosphoric acid)。
- 如申請專利範圍第1項所述之半導體元件之製法,其中該第二濕式蝕刻製程包括施加氫氧化銨-過氧化氫-水之混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)。
- 如申請專利範圍第1項所述之半導體元件之製法,於形成該圖案化光阻層之前,尚包括對該犧牲層施加六甲基二矽氮烷(hexamethyl disilazane,HMDS)。
- 如申請專利範圍第1項所述之半導體元件之製法,於施加該第一濕式蝕刻製程之前,尚包括對該圖案化光阻層施加一清潔材料。
- 一種半導體元件之製法,包括以下步驟:形成一氮化鈦(TiN)層於一基材上;形成一氧化鑭(LaO)層於該氮化鈦(TiN)層上;形成一圖案化光阻層於該氧化鑭(LaO)層上;利用該圖案化光阻層作為一罩幕,對該基材施加一第一濕式蝕刻製程以蝕刻該氧化鑭(LaO)層,藉以形成一圖案化氧化鑭(LaO)層;對該基材施加一氫氧化銨-過氧化氫-水之混合物 (ammonia hydroxide-hydrogen peroxide-water mixture,APM)以蝕刻該氮化鈦(TiN)層;以及施加一第二濕式蝕刻製程於該基材以移除該氧化鑭(LaO)層。
- 如申請專利範圍第12項所述之半導體元件之製法,於施加該第一濕式蝕刻製程之後與施加該氫氧化銨-過氧化氫及水-混合物(APM)之前,尚包括對該基材施加一濕式化學蝕刻以移除該圖案化光阻層。
- 如申請專利範圍第12項所述之半導體元件之製法,於施加該氫氧化銨-過氧化氫-水之混合物(APM)之後與施加該第二濕式蝕刻製程之前,尚包括對該基材施加一濕式化學蝕刻以移除該圖案化光阻層。
- 如申請專利範圍第13項所述之半導體元件之製法,其中該濕式化學蝕刻製程包括施加N-甲基-2-吡咯酮(N-methyl-2-pyrrolidine,NMP)、環己醇(cyclohexanol)、環戊醇(cyclopentanol)、二甲基亞碸(dimethyl sulfoxide,DMSO)、丙二醇甲醚(propylene glycol monomethyl ether,PGME)或丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA)。
- 如申請專利範圍第12項所述之半導體元件之製法,其中該第一與第二濕式蝕刻製程各自包括含有氫氯酸(HCl)及水(H2 O)之蝕刻劑。
- 如申請專利範圍第12項所述之半導體元件之製法,其中該第一與第二濕式蝕刻製程各自包括含有一光阻顯影劑(resist developer)之蝕刻劑。
- 如申請專利範圍第12項所述之半導體元件之製法,其中該第一濕式蝕刻製程包括對該基材施加一弱酸溶液,其中該弱酸溶液包括二氧化碳水(CO2 water)、醋酸溶液(acetic acid solution)、檸檬酸溶液(citric acid)、硼酸(boric acid)或磷酸(phosphoric acid)。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US9656608P | 2008-09-12 | 2008-09-12 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201021114A TW201021114A (en) | 2010-06-01 |
TWI409871B true TWI409871B (zh) | 2013-09-21 |
Family
ID=42532526
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098130691A TWI409871B (zh) | 2008-09-12 | 2009-09-11 | 半導體元件之製法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US8183162B2 (zh) |
CN (1) | CN101789367B (zh) |
TW (1) | TWI409871B (zh) |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8669189B2 (en) * | 2009-06-25 | 2014-03-11 | Lam Research Ag | Method for treating a semiconductor wafer |
US8298751B2 (en) * | 2009-11-02 | 2012-10-30 | International Business Machines Corporation | Alkaline rinse agents for use in lithographic patterning |
MY151464A (en) * | 2010-12-09 | 2014-05-30 | Mimos Berhad | A method of fabricating a semiconductor device |
CN103539064B (zh) * | 2012-07-10 | 2016-03-02 | 无锡华润上华半导体有限公司 | Mems结构的牺牲层湿法腐蚀方法及mems结构 |
CN102956551B (zh) * | 2012-11-02 | 2015-01-07 | 京东方科技集团股份有限公司 | 阵列基板的制作方法、阵列基板及显示装置 |
US8759220B1 (en) * | 2013-02-28 | 2014-06-24 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
US9291910B2 (en) | 2013-09-27 | 2016-03-22 | Dynaloy, Llc | Aqueous solution and process for removing substances from substrates |
US9567493B2 (en) * | 2014-04-25 | 2017-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMP slurry solution for hardened fluid material |
US10073352B2 (en) | 2016-04-12 | 2018-09-11 | Versum Materials Us, Llc | Aqueous solution and process for removing substances from substrates |
CN106057667B (zh) * | 2016-07-06 | 2019-02-05 | 京东方科技集团股份有限公司 | 膜层图案的制作方法、基板的制作方法及基板、显示装置 |
US10504795B2 (en) | 2018-03-27 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for patterning a lanthanum containing layer |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6749760B2 (en) * | 2001-10-26 | 2004-06-15 | Intel Corporation | Etchant formulation for selectively removing thin films in the presence of copper, tin, and lead |
US20050116317A1 (en) * | 2003-11-06 | 2005-06-02 | Hyo-Jong Lee | Inductor for a system-on-a-chip and method for manufacturing the same |
US20080136063A1 (en) * | 2005-07-11 | 2008-06-12 | Chung-Yang Chuang | Nanofiber and method for fabricating the same |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5907791A (en) * | 1996-04-25 | 1999-05-25 | Lucent Technologies Inc. | Method of making semiconductor devices by patterning a wafer having a non-planar surface |
US6555455B1 (en) * | 1998-09-03 | 2003-04-29 | Micron Technology, Inc. | Methods of passivating an oxide surface subjected to a conductive material anneal |
DE10312469A1 (de) * | 2003-03-20 | 2004-10-07 | Infineon Technologies Ag | Verfahren zum Herstellen einer Halbleiterstruktur |
US7176092B2 (en) * | 2004-04-16 | 2007-02-13 | Taiwan Semiconductor Manufacturing Company | Gate electrode for a semiconductor fin device |
CN1855372A (zh) * | 2005-04-18 | 2006-11-01 | 力晶半导体股份有限公司 | 栅极与具有此种栅极的快闪存储器的形成方法 |
CN101140421B (zh) * | 2006-09-04 | 2010-06-16 | 中芯国际集成电路制造(上海)有限公司 | 形成光刻胶图案的方法 |
KR100790245B1 (ko) * | 2006-12-07 | 2008-01-02 | 동부일렉트로닉스 주식회사 | 반도체 소자용 금속 배선 형성 방법 |
-
2009
- 2009-08-06 US US12/536,805 patent/US8183162B2/en not_active Expired - Fee Related
- 2009-09-11 TW TW098130691A patent/TWI409871B/zh not_active IP Right Cessation
- 2009-09-11 CN CN2009101691434A patent/CN101789367B/zh active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6749760B2 (en) * | 2001-10-26 | 2004-06-15 | Intel Corporation | Etchant formulation for selectively removing thin films in the presence of copper, tin, and lead |
US20050116317A1 (en) * | 2003-11-06 | 2005-06-02 | Hyo-Jong Lee | Inductor for a system-on-a-chip and method for manufacturing the same |
US20080136063A1 (en) * | 2005-07-11 | 2008-06-12 | Chung-Yang Chuang | Nanofiber and method for fabricating the same |
Also Published As
Publication number | Publication date |
---|---|
TW201021114A (en) | 2010-06-01 |
US20100093176A1 (en) | 2010-04-15 |
CN101789367B (zh) | 2012-04-04 |
CN101789367A (zh) | 2010-07-28 |
US8183162B2 (en) | 2012-05-22 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI409871B (zh) | 半導體元件之製法 | |
US8163655B2 (en) | Method for forming a sacrificial sandwich structure | |
US8222149B2 (en) | Method for photoresist pattern removal | |
US8202776B2 (en) | Method for protecting a gate structure during contact formation | |
TWI406394B (zh) | 具有用以隔離裝置之虛設結構的積體電路 | |
US8258588B2 (en) | Sealing layer of a field effect transistor | |
US8093116B2 (en) | Method for N/P patterning in a gate last process | |
CN102104003B (zh) | 半导体装置的制造方法 | |
US7977181B2 (en) | Method for gate height control in a gate last process | |
US7939392B2 (en) | Method for gate height control in a gate last process | |
TWI462187B (zh) | 半導體元件及其製造方法 | |
TWI399798B (zh) | 具有金屬閘極堆疊的半導體裝置之製造方法 | |
US8980706B2 (en) | Double treatment on hard mask for gate N/P patterning | |
CN101661901B (zh) | 制造半导体元件的方法与半导体元件 | |
US20120313178A1 (en) | Semiconductor device having metal gate and manufacturing method thereof | |
US7186605B2 (en) | Method of fabricating gates | |
US20200395360A1 (en) | Integrated circuit and manufacturing method thereof | |
JP2008166713A (ja) | 複数の誘電体を備えた半導体装置の製造方法 | |
TW202211373A (zh) | 半導體結構及其形成方法 | |
US8766370B2 (en) | Single metal dual dielectric CMOS device | |
TW202238734A (zh) | 半導體元件之製造方法 | |
CN114079008A (zh) | 半导体器件的形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |