TWI393184B - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TWI393184B
TWI393184B TW098131898A TW98131898A TWI393184B TW I393184 B TWI393184 B TW I393184B TW 098131898 A TW098131898 A TW 098131898A TW 98131898 A TW98131898 A TW 98131898A TW I393184 B TWI393184 B TW I393184B
Authority
TW
Taiwan
Prior art keywords
semiconductor device
layer
sacrificial layer
substrate
fabricating
Prior art date
Application number
TW098131898A
Other languages
English (en)
Other versions
TW201013773A (en
Inventor
Ching Yu Chang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201013773A publication Critical patent/TW201013773A/zh
Application granted granted Critical
Publication of TWI393184B publication Critical patent/TWI393184B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/949Energy beam treating radiation resist on semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Description

半導體裝置之製造方法
本發明係有關於半導體裝置之製造方法,且特別是有關於一種光阻殘餘物之移除方法。
於半導體工業之先進技術節點中,係使用金屬及高介電常數介電材料來形成場效電晶體的閘極堆疊。當形成金屬閘極場效電晶體時,使用一或多個佈植製程來形成場效電晶體的摻雜元件。離子佈植製程中,使用圖案化光阻層作為罩幕。隨後,移除圖案化光阻層。然而,當使用乾式剝離製程來移除圖案化光阻層時,會使基材凹陷。當使用濕式剝離製程來移除圖案化光阻層時,則無法完全移除光阻殘餘物。
本發明提供一種半導體裝置之製造方法,包括:形成一犧牲層於一基材上;形成一圖案化光阻層於該犧牲層上;對該基材進行離子佈植;施予一第一濕式蝕刻溶液以移除該圖案化光阻層;以及施予一第二濕式蝕刻製程以移除該犧牲層。
本發明也提供一種半導體裝置之製造方法,包括:形成一犧牲層於一基材上;形成一圖案化光阻層於該犧牲層上;對該基材進行離子佈植;施予一化學溶液以移除該圖案化光阻層;以及施予一酸性溶液以移除該犧牲層。
本發明更提供一種半導體裝置之製造方法,包括:形成一第一金屬層於一基材上;形成一氧化鑭層於該金屬層上;形成一圖案化光阻層於該氧化鑭層上;對該基材進行一製程;施予一硫酸及過氧化氫溶液以移除該圖案化光阻層;以及施予一鹽酸溶液以移除該氧化鑭層。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
本發明接下來將會提供許多不同的實施例以實施本發明中不同的特徵。各特定實施例中的組成及配置將會在以下作描述以簡化本發明。這些為實施例並非用於限定本發明。此外,一第一元件形成於一第二元件“上方”、“之上”、“之下”或“上”可包含實施例中的該第一元件與第二元件直接接觸,或也可包含該第一元件與第二元件之間更有其他額外元件使該第一元件與第二元件無直接接觸。各種元件可能以任意不同比例顯示以使圖示清晰簡潔。
第1圖顯示為依照本發明一實施例之製造具有金屬閘極構造之半導體裝置之方法100之流程圖。第2至6圖為本發明一實施例之半導體結構200於各種製造階段時之剖面圖。半導體裝置之製造方法100在此將參照第1至6圖來描述。
參見第1及2圖,方法100起始於步驟102,其為提供半導體基材210。半導體基材210包含矽。或者,基材210包含鍺、鍺化矽、或其他合適半導體材料,例如鑽石、碳化矽或砷化鎵。基材210可更包含額外之元件及/或材料層,例如形成於基材中之各種隔離元件。基材210可包含各種p型摻雜區域及/或n型摻雜區域結構,並相互連接以形成各種裝置及功能性元件。所有的摻雜元件皆可由適當的製程形成,例如在各種步驟及技術中進行離子佈植。基材210可包含其他元件,例如淺溝槽隔離(STI)。
基材210可進一步包含各種材料層,例如金屬閘極堆疊材料層。可在半導體基材210上形成高介電常數介電材料層212。高介電常數介電材料層212可由合適之製程形成,例如原子層沉積(ALD)。其他用以形成高介電常數介電層之方法包含金屬有機化學氣相沉積(MOCVD)、物理氣相沉積(PVD)、UV-臭氧氧化及分子束磊晶(molecular beam epitaxy;MBE)。在一實施例中,高介電常數介電材料層包含氧化鉿。或者,高介電常數介電材料層包含金屬氮化物、金屬矽化物或其他金屬氧化物。
金屬層214為形成於高介電常數介電材料層上。在一實施例中,金屬層包含氮化鈦(TiN)。或者,金屬層包含氮化鉭(TaN)、銅(Cu)、矽化鎢(WSi)、鉭、氮化鉭、氧化鋁(AlOx )、鋁、鎢、鉿、鑭或其他合適金屬。金屬層可由物理氣相沉積(PVD)、化學氣相沉積(CVD)或其他合適方法形成,例如原子層沉積(ALD)。
界面層(IL)可位於半導體基材210及高介電常數介電材料層之間。界面層可包含薄氧化矽層,並在形成高介電常數介電材料層之前先形成於矽基材210上。薄氧化矽層可由原子層沉積(ALD)或熱氧化法形成。
可在高介電常數介電材料層上進一步形成蓋層。在一實施例中,蓋層包含氧化鑭(LaO)。在另一實施例中,蓋層包含氧化鋁(Al2 O3 )。或者,蓋層也可包含其他合適材料。
參見第1及2圖,步驟104為在基材上形成犧牲層215,如第3圖所示。犧牲層相對於基材具有較高的蝕刻選擇性,以使犧牲層可由特定的化學品移除並且不會傷害到基材。犧牲層215包含氧化鑭(LaO)。或者,犧牲層包含擇自氧化矽、氮氧化矽、氧化鉿、氧化鋁及氧化鎢之氧化物。犧牲層之厚度約小於200。在一實施例中,犧牲層之厚度約為20。犧牲層可由物理氣相沉積、化學氣相沉積或其他合適方法形成。
參見第1及3圖,繼續進行步驟106,其為在基材210上形成圖案化光阻層216。圖案化光阻層216可用於作為罩幕以圖案化包含第一、第二及第三層之三明治結構。特別的是,圖案化光阻層216為形成在第三層上,如第3圖所示。圖案化光阻層是由光學微影技術所形成。標準光學微影製程可包含多個製程,例如光阻塗佈、軟烘烤、罩幕對準、曝光、後曝光烘烤、光阻顯影及硬烘烤。當施予顯影溶液至已曝光之光阻層時,位於已曝光之光阻區域底下之犧牲層也會被部分或完全移除(對正光阻而言)。
微影技術可使用氟化氪(KrF)準分子光雷射、氟化氬(ArF)準分子雷射、氟化氪(KrF)浸潤式微影、超紫外光(EUV)或電子束寫入(E-beam writing)來進行。也可使用其他適當方法進行或替換光學微影曝光製程,例如無罩幕光學微影、離子束寫入及分子拓印。
參見第1及4圖,方法100可包含在圖案化光阻層形成後,進行額外的濕式蝕刻步驟。在此步驟中,使用圖案化光阻層作為光阻以圖案化犧牲層。在一實施例中,使用二氧化碳水(CO2 water)來移除圖案化光阻層開口內之犧牲層。或者,省略額外的濕式蝕刻步驟,以使圖案化光阻層開口內之犧牲層繼續存在。
仍參見第1及4圖,接著進行步驟108,其為對基材進行離子佈植製程。圖案化光阻層具有作為佈植罩幕的功能。在一實施例中,離子佈植製程用於形成源極/及汲極元件,例如輕摻雜汲極(LDD)元件。在此情況下,使用金屬層及高介電常數介電材料層來形成金屬閘極堆疊。在此步驟中,本方法也可包含另一製造步驟,例如蝕刻製程,其為使用圖案化光阻層作為製程罩幕(processing mask)。
參見第1及5圖,接著進行步驟110,其對基材為施予Caros溶液以移除圖案化光阻層,該Caros溶液包含硫酸及過氧化氫。硫酸及過氧化氫於溶液中的比例約為1:1至100:1,且於製程中的溶液溫度約介於50℃至180℃之間。或者,圖案化光阻層可由擇自臭氧水、硫酸、硫酸及臭氧、硫酸及過氧化氫、N-甲基砒喀烷酮(N-Methyl-2-Pyrrolidone;NMP)、環己醇、環戊醇、單甲基醚丙二醇(PGME)、丙二醇單甲基醚酯(PGMEA)之化學溶液移除。
參見第1及5圖,接著可繼續進行步驟112,其為進行化學製程以清潔有機殘餘物或其他經移除圖案化光阻層所剩的殘餘物。清潔材料能夠清除有機殘餘物。例如,清潔材料包含溶劑、界面活性劑或聚合物成分。
參見第1及6圖,接著繼續進行步驟114,其為對半導體基材進行濕式蝕刻製程,以移除犧牲層215。在一實施例中,濕式蝕刻製程使用鹽酸(HCl)。例如,濕式蝕刻製程包含鹽酸及水。鹽酸/水的比例約為在1:1至1:1000之間。鹽酸溶液的處理溫度約在20℃至80℃之間,其處理時間約為5秒至5分鐘。在另一實施例中,濕式蝕刻溶液包含氟化氫(HF)、氫氧化銨(NH4 OH)、氟化銨(NH4 F)、水(H2 O)、過氧化氫(H2 O2 )、硝酸(HNO3 )、醋酸(CH3 COOH)、鹽酸(HCl)、羧酸(carboxylic acid)或界面活性劑。
在濕式蝕刻製程以移除犧牲層之後,接著可進行額外的清潔製程。清潔製程能夠移除有機殘餘物。例如,清潔材料包含溶劑、化學品、界面活性劑或聚合物成分。
在此所述之方法可具有各種優點。在一實施例中,所施用的離子佈植實質上會與光阻聚合基質(photoresist polymeric matrix)交聯(crosslink),並使其難以被移除。在此所述之濕式蝕刻方法可有效移除光阻並降低對基材的傷害,可實質上減少或避免在光阻移除之後之基材凹陷。藉由以犧牲層作為保護層,可減少光阻殘餘物。當移除犧牲層時,光阻殘餘物也經過Caros/清潔溶液的清潔而被移除。犧牲層具有高蝕刻速率,以使其能被濕式蝕刻移除。任何在犧牲層上的缺陷及殘餘物可被底切(undercut)或溶解至濕式化學品中,殘餘物及缺陷因此可從晶圓表面離去(lifted off)。此外,犧牲層可增進光阻黏著性。
雖然未顯示,本方法也具有其他製程步驟以形成各種摻雜區域(例如源極及汲極區)及裝置元件(例如多層內連線)。在一實施例中,基材或許也可包含由前述方法圖案化之其他材料層。在另一實施例中,可對基材進行額外的圖案化步驟以圖案化金屬閘極堆疊。在另一實施例中,源極及汲極元件為在閘極堆疊形成之後形成。閘極間隔物為形成在金屬閘極堆疊的側壁。接著,源極及汲極區沿著間隔物的外側邊緣形成。閘極間隔物可具有多層結構及可包含氧化矽、氮化矽、氮氧化矽或其他介電材料。無論n型或p型摻雜的源極及汲極區及輕摻雜源極/汲極區係為經由傳統摻雜製程來形成,例如離子佈植。使用n型雜質以形成關於包含磷、砷及/或其他材料之摻雜區域。p型雜質可包含硼、銦及/或其他材料。
此外,更可形成多層內連線。多層內連線包含垂直內連線、例如傳統通孔或接觸點,及水平內連線,例如金屬線。各種內連線元件可使用各種導電材料,例如銅、鎢及矽化物。在一實施例中,可使用鑲嵌製程以形成關於銅的多層內連線結構。在另一實施例中,可使用鎢在接觸孔中形成接觸插塞。
半導體基材更可包含額外的隔離元件,以隔離各個其他元件。隔離元件可包含不同的結構及可由各種不同的技術形成。例如,隔離元件可包含淺溝槽隔離(STI)元件。淺溝槽隔離的形成可包含在基材中蝕刻出溝槽,並以例如氧化矽、氮化矽或氮氧化矽之介電材料填滿溝槽。在一實施例中,可使用連續的製程來形成淺溝槽隔離結構,例如生長一墊氧化層;形成低壓化學氣相沉積(LPCVD)氮化物層;使用光阻及罩幕圖案化淺溝槽隔離結構;在基材中蝕刻一溝槽;選擇性地生長熱氧化物溝槽內襯以改善溝槽界面;以化學氣相沉積(CVD)氧化物填滿溝槽;使用化學機械研磨(CMP)作回蝕刻;及將氮化物剝離(nitride stripping)淺溝槽隔離結構。
本發明並不僅限於應用於包含MOS電晶體的半導體結構,且可延伸至其他具有金屬閘極堆疊之積體電路。例如,半導體結構200可包含動態隨機存取記憶體(DRAM)、單電子電晶體(SET)及/或其他微電子裝置(在此可通稱為微電子裝置)。當然,本發明也可用於或準備適用於其他型態的電晶體,包含單閘極電晶體(single-gate transistor)、多閘極電晶體(multiple-gate transistor)、應變半導體基材、相異之半導體裝置(hetero-semiconductor device);及可應用於許多不同方面,包含感測器、記憶體、邏輯元件或其他類似物。
雖然本發明已詳述數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾。在一實施例中,當犧牲層為氧化鑭時,濕式蝕刻化學品可為鹽酸或酸性材料,酸性材料可為TARC或酸性聚合物或含酸性分子之聚合物。在另一實施例中,如在形成圖案化光阻後,需進行離子佈植製程,則在圖案化光阻開口內之犧牲層之移除會較離子佈植製程先進行。因為犧牲材料已經移除,因此犧牲材料將不會對基材造成影響。也就是說,離子佈植的轟擊不會使任何犧牲層的物質進入基材中。
在一實施例中,為使用本方法來形成一或多個金氧半導體場效電晶體(MOSFETs)。在另一實施例中,為使用本方法來在前閘極製程中形成金屬閘極堆疊,其中金屬閘極堆疊由本發明形成,並保留至最終結構中。在另一實施例中,基材可具有磊晶層,位於巨塊半導體(bulk semiconductor)上。再者,磊晶層可包含不同於巨塊半導體之半導體材料,例如巨塊矽上覆鍺化矽或由包含選擇性磊晶成長(SEG)製程所形成巨塊鍺化矽上覆矽。此外,基材可包含絕緣層上覆矽結構,例如埋入介電層(buried dielectric layer)。
因此,本發明提供一種半導體裝置之製造方法。此方法包含在基材上形成一犧牲層;形成一圖案化光阻層於犧牲層上;對基材進行離子佈植;施予硫酸及過氧化氫以移除圖案化光阻層;或對基材進行一清潔製程:及進行一濕式蝕刻製程以移除犧牲層。
在本發明之一實施例中,犧牲層包含氧化鑭。濕式蝕刻製程包含具有鹽酸或羧酸(carboxylic acid)之蝕刻劑。在另一實施例中,犧牲層包含氧化物材料。此氧化物材料包含擇自下列材料所組成之族群:氧化矽、氮氧化矽、氧化鉿、氧化鋁及氧化鎢。濕式蝕刻製程包含一蝕刻化學品,該蝕刻化學品可包含擇自下列蝕刻化學品所組成之族群:氟化氫(HF)、氫氧化銨(NH4 OH)、氟化銨(NH4 F)、水(H2 O)、過氧化氫(H2 O2 )、硝酸(HNO3 )、醋酸(CH3 COOH)、鹽酸(HCl)、醋酸及界面活性劑。本方法可更包含在進行離子佈植之前,對圖案化光阻層施予清潔材料。基材可包含高介電常數介電材料,及位於在高介電常數介電材料上之金屬層。金屬層可包含擇自下列金屬所組成之族群:鎢、矽化鎢、鋁、銅、氧化鋁(AlOx )、鉿、鑭、鈦、氮化鈦、鉭及氮化鉭。在一實施例中,圖案化光阻層之形成包含在基材上旋轉塗佈光阻溶液;曝光光阻層;顯影光阻層,形成圖案化光阻層。光阻層之顯影可額外圖案化犧牲層。本方法更包含施予CO2 水以圖案化犧牲層。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
210...基材
212...高介電常數介電材料層
214...金屬層
215...犧牲層
216...圖案化光阻層
第1圖為依照本發明一實施例之半導體裝置之製造方法之流程圖。
第2~6圖為依照本發明一實施例之製造具有金屬閘極堆疊之半導體結構之方法於各種製造階段之剖面圖。
210...基材
212...高介電常數介電材料層
214...金屬層
215...犧牲層
216...圖案化光阻層

Claims (24)

  1. 一種半導體裝置之製造方法,包括:形成一犧牲層於一基材上;形成一圖案化光阻層於該犧牲層上;以該圖案化光阻層為罩幕,圖案化該犧牲層;在該圖案化光阻層及該圖案化犧牲層位於該基材上時,對該基材進行離子佈植;施予一第一濕式蝕刻溶液以移除該圖案化光阻層;以及施予一第二濕式蝕刻製程以移除該犧牲層。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該犧牲層包含氧化鑭(LaO)。
  3. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一濕式蝕刻溶液包含一具有硫酸(H2 SO4 )及過氧化氫(H2 O2 )之蝕刻劑。
  4. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一濕式蝕刻溶液包含一具有硫酸(H2 SO4 )及臭氧(O3 )之蝕刻劑。
  5. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一濕式蝕刻溶液包含一具有臭氧(O3 )之蝕刻劑。
  6. 如申請專利範圍第2項所述之半導體裝置之製造方法,其中該第二濕式蝕刻製程包含一具有pH值小於7之蝕刻劑。
  7. 如申請專利範圍第1項所述之半導體裝置之製造 方法,該第二濕式蝕刻製程包含一具有鹽酸(HCl)之蝕刻劑。
  8. 如申請專利範圍第1項所述之半導體裝置之製造方法,該犧牲層包含一氧化物材料。
  9. 如申請專利範圍第8項所述之半導體裝置之製造方法,其中該氧化物材料係擇自下列所組成之族群:氧化矽、氮氧化矽、氧化鉿、氧化鋁及氧化鎢。
  10. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第二濕式蝕刻製程包含一蝕刻化學品,該蝕刻化學品係擇自下列所組成之族群:氟化氫(HF)、氫氧化銨(NH4 OH)、氟化銨(NH4 F)、水(H2 O)、過氧化氫(H2 O2 )、硝酸(HNO3 )、醋酸(CH3 COOH)、鹽酸(HCl)、羧酸(carboxylic acid)及界面活性劑。
  11. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該基材更包含:一高介電常數介電材料層;以及一金屬層,位於該高介電常數介電材料層上。
  12. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該金屬層包含一金屬,該金屬係擇自下列所組成之族群:鎢、矽化鎢、鋁、銅、氧化鋁(AlOx )、鉿、鑭、鈦、氮化鈦、鉭及氮化鉭。
  13. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該圖案化光阻層之形成包含:旋轉塗佈一光阻溶液至該基材上;曝光(exposing)該光阻層;及 顯影(developing)該光阻層,形成一圖案化光阻層。
  14. 如申請專利範圍第13項所述之半導體裝置之製造方法,其中該光阻層之顯影更會圖案化該犧牲層。
  15. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包含施予一二氧化碳水(CO2 water)以圖案化該犧牲層。
  16. 一種半導體裝置之製造方法,包括:形成一犧牲層於一基材上;形成一圖案化光阻層於該犧牲層上;以該圖案化光阻層為罩幕,圖案化該犧牲層;在該圖案化光阻層及該圖案化犧牲層位於該基材上時,對該基材進行離子佈植;施予一化學溶液以移除該圖案化光阻層;以及施予一酸性溶液以移除該犧牲層。
  17. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該犧牲層包含氧化鑭(LaO)。
  18. 如申請專利範圍第16項所述之半導體裝置之製造方法,其中該酸性溶液包含鹽酸,其濃度為約1:1至1:1000之且溫度介於約20℃至80℃之間。
  19. 如申請專利範圍第16項所述之半導體裝置之製造方法,其中該酸性溶液之施予時間為約5秒至5分鐘。
  20. 如申請專利範圍第16項所述之半導體裝置之製造方法,其中該犧牲層包含一材料,該材料係擇自下列所組成之族群:氧化鑭、氧化矽、氮氧化矽、氧化鉿、氧化鋁及氧化鎢。
  21. 如申請專利範圍第16項所述之半導體裝置之製造方法,其中該化學溶液包含一蝕刻劑,該蝕刻劑係擇自下列所組成之族群:以氧化劑為主之溶液(oxidant based solution)、硫酸及過氧化氫、硫酸及臭氧、臭氧、N-甲基砒喀烷酮(N-Methyl-2-Pyrrolidone;NMP)、環己醇、環戊醇、單甲基醚丙二醇(PGME)、丙二醇單甲基醚酯(PGMEA)。
  22. 一種半導體裝置之製造方法,包括:形成一第一金屬層於一基材上;形成一氧化鑭層於該金屬層上;形成一圖案化光阻層於該氧化鑭層上;對該基材進行一製程;施予一硫酸及過氧化氫溶液以移除該圖案化光阻層;以及施予一鹽酸溶液以移除該氧化鑭層。
  23. 如申請專利範圍第22項所述之半導體裝置之製造方法,其中該製程包含一離子佈植製程。
  24. 如申請專利範圍第22項所述之半導體裝置之製造方法,其中該製程包含一蝕刻製程。
TW098131898A 2008-09-22 2009-09-22 半導體裝置之製造方法 TWI393184B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9905808P 2008-09-22 2008-09-22
US12/564,200 US8222149B2 (en) 2008-09-22 2009-09-22 Method for photoresist pattern removal

Publications (2)

Publication Number Publication Date
TW201013773A TW201013773A (en) 2010-04-01
TWI393184B true TWI393184B (zh) 2013-04-11

Family

ID=42038090

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098131898A TWI393184B (zh) 2008-09-22 2009-09-22 半導體裝置之製造方法

Country Status (3)

Country Link
US (1) US8222149B2 (zh)
CN (1) CN101794071A (zh)
TW (1) TWI393184B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009012827A1 (de) * 2009-03-03 2010-10-07 Gebr. Schmid Gmbh & Co. Verfahren zur Texturierung von Siliziumwafern für Solarzellen und Behandlungsflüssigkeit dafür
CN102460662B (zh) * 2009-06-25 2014-09-10 朗姆研究公司 用于处理半导体晶片的方法
US8535998B2 (en) 2010-03-09 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure
US20110275221A1 (en) * 2010-05-07 2011-11-10 Lam Research Ag Method for treatment substrates and treatment composition for said method
US8911558B2 (en) * 2011-03-23 2014-12-16 Nanya Technology Corp. Post-tungsten CMP cleaning solution and method of using the same
DE102011076185A1 (de) * 2011-05-20 2012-11-22 Globalfoundries Inc. Halbleiterbauelemente mit reduzierter STI-Topographie durch Anwenden elner chemischen Oxidabtragung
CN102420116B (zh) * 2011-06-07 2013-12-04 上海华力微电子有限公司 消除栅极凹形缺陷的方法
US8795542B2 (en) * 2011-07-26 2014-08-05 Intermolecular, Inc. Removal of silicon nitrides during manufacturing of semiconductor devices
US9627272B2 (en) 2015-08-24 2017-04-18 Globalfoundries Inc. Patterning scheme to minimize dry/wets strip induced device degradation
CN107785246B (zh) * 2016-08-30 2022-10-14 联芯集成电路制造(厦门)有限公司 对基底进行离子注入的方法
US9922882B1 (en) 2017-05-16 2018-03-20 United Microelectronics Corp. Manufacturing method of semiconductor structure
IL274880B2 (en) 2017-12-08 2024-04-01 Basf Se Composition and process for selectively burning a layer containing an aluminum compound in the presence of layers of materials with low K, copper and/or cobalt
US20190252243A1 (en) * 2018-02-12 2019-08-15 Duet Microelectronics Inc. Method of manufacturing airbridges for high performance semiconductor device
WO2020234395A1 (en) 2019-05-23 2020-11-26 Basf Se Composition and process for selectively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
US12027595B2 (en) 2021-03-24 2024-07-02 Changxin Memory Technologies, Inc. Semiconductor structure and fabrication method thereof, and peripheral circuit
CN117063268A (zh) * 2021-12-20 2023-11-14 华为技术有限公司 铁电存储器及其制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579766B1 (en) * 2002-02-15 2003-06-17 Infineon Technologies Ag Dual gate oxide process without critical resist and without N2 implant
US20050124149A1 (en) * 2003-12-03 2005-06-09 Samsung Electronics Co., Ltd. Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
US20050164127A1 (en) * 2001-06-15 2005-07-28 Reid Jason S. Method for removing a sacrificial material with a compressed fluid
US20070206267A1 (en) * 2006-03-02 2007-09-06 Ming-Hau Tung Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US20080119006A1 (en) * 2006-11-20 2008-05-22 Joo Hyun Lee Method for manufacturing image sensor

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3232837A1 (de) * 1982-09-03 1984-03-08 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen einer 2-ebenen-metallisierung fuer halbleiterbauelemente, insbesondere fuer leistungshalbleiterbauelemente wie thyristoren
US5334804A (en) * 1992-11-17 1994-08-02 Fujitsu Limited Wire interconnect structures for connecting an integrated circuit to a substrate
US5605602A (en) * 1994-09-08 1997-02-25 Advanced Micro Devices, Inc. Method and device for removing a thin film from a wafer backside surface
US7371691B2 (en) * 2004-07-29 2008-05-13 Texas Instruments Incorporated Silicon recess improvement through improved post implant resist removal and cleans
US7294543B2 (en) * 2006-03-22 2007-11-13 International Business Machines Corporation DRAM (Dynamic Random Access Memory) cells
US20080180783A1 (en) * 2007-01-25 2008-07-31 Li-Ming Wang Critical dimension control for photolithography for microelectromechanical systems devices
EP2129619A2 (en) * 2007-04-04 2009-12-09 Qualcomm Mems Technologies, Inc. Eliminate release etch attack by interface modification in sacrificial layers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050164127A1 (en) * 2001-06-15 2005-07-28 Reid Jason S. Method for removing a sacrificial material with a compressed fluid
US6579766B1 (en) * 2002-02-15 2003-06-17 Infineon Technologies Ag Dual gate oxide process without critical resist and without N2 implant
US20050124149A1 (en) * 2003-12-03 2005-06-09 Samsung Electronics Co., Ltd. Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
US20070206267A1 (en) * 2006-03-02 2007-09-06 Ming-Hau Tung Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US20080119006A1 (en) * 2006-11-20 2008-05-22 Joo Hyun Lee Method for manufacturing image sensor

Also Published As

Publication number Publication date
TW201013773A (en) 2010-04-01
US20100075478A1 (en) 2010-03-25
CN101794071A (zh) 2010-08-04
US8222149B2 (en) 2012-07-17

Similar Documents

Publication Publication Date Title
TWI393184B (zh) 半導體裝置之製造方法
TWI416595B (zh) 製造半導體裝置的方法
TWI409871B (zh) 半導體元件之製法
US7297598B2 (en) Process for erase improvement in a non-volatile memory device
US20070037101A1 (en) Manufacture method for micro structure
JP5592083B2 (ja) 基板処理方法およびそれを用いた半導体装置の製造方法
US9678430B2 (en) Composition and process for stripping photoresist from a surface including titanium nitride
US20070202445A1 (en) Method for manufacturing micro structure
US6878646B1 (en) Method to control critical dimension of a hard masked pattern
JP2007173840A (ja) 半導体素子のデュアルゲート形成方法
KR20050085415A (ko) 다층 게이트 스택
US6927111B2 (en) Method for fabricating semiconductor device
JP4358556B2 (ja) 半導体装置の製造方法
US7306681B2 (en) Method of cleaning a semiconductor substrate
US7867901B2 (en) Method for forming silicide in semiconductor device
JP4283017B2 (ja) 半導体装置の製造方法
US20100167519A1 (en) Post high-k dielectric/metal gate clean
US7300883B2 (en) Method for patterning sub-lithographic features in semiconductor manufacturing
US8129101B2 (en) Method for increasing the removal rate of photoresist layer
JP4082280B2 (ja) 半導体装置およびその製造方法
KR100707588B1 (ko) 모스 트랜지스터 및 그 제조방법
JP4471986B2 (ja) 半導体装置の製造方法
KR20080062010A (ko) 반도체 소자의 제조방법
JP2008135765A (ja) 半導体装置
CN114079008A (zh) 半导体器件的形成方法