KR20010104669A - 플라즈마 강화 cvd 공정에 대한 플라즈마 에지 효과의감소 - Google Patents

플라즈마 강화 cvd 공정에 대한 플라즈마 에지 효과의감소 Download PDF

Info

Publication number
KR20010104669A
KR20010104669A KR1020010026010A KR20010026010A KR20010104669A KR 20010104669 A KR20010104669 A KR 20010104669A KR 1020010026010 A KR1020010026010 A KR 1020010026010A KR 20010026010 A KR20010026010 A KR 20010026010A KR 20010104669 A KR20010104669 A KR 20010104669A
Authority
KR
South Korea
Prior art keywords
gas
wall
degrees
mounting surface
processing chamber
Prior art date
Application number
KR1020010026010A
Other languages
English (en)
Other versions
KR100728651B1 (ko
Inventor
쿠오-시 리우
라마나 비어라싱감
치 수
핑 수
마리오 데이브 실베티
갱 첸
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010104669A publication Critical patent/KR20010104669A/ko
Application granted granted Critical
Publication of KR100728651B1 publication Critical patent/KR100728651B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Abstract

본 발명은 기판 처림 챔버의 처리 지역내에 플라즈마를 한정하기 위한 장치에 관한 것이다. 일측면에서, 하나의 장치는 상부 장착 표면을 가지는 각도 부재, 내부 형성 벽, 외부 형성벽을 포함한다. 상기 장치는 기판의 표면에 플라즈마 에지 효과를 방지하기 위하여 처리 챔버의 가스 분배 어셈블리위에 배치되거나, 상기 가스 분배 어셈블리와 연결된다. 상기 장치는 기판의 주변 처리 지역의 체적을 감소시키는 플라즈마 쵸크 구멍을 제공하여 기판 에지 주변 재료에서 재료의 불균일한 증착을 제거한다.

Description

플라즈마 강화 CVD 공정에 대한 플라즈마 에지 효과의 감소 {REDUCTION OF PLASMA EDGE EFFECT ON PLASMA ENHANCED CVD PROCESSES}
본 발명은 반도체 처리 장비, 특히, 처리 챔버의 처리 영역 내의 플라즈마 가스를 형성하는 장치 및 처리 챔버에 관한 것이다.
집적 회로(IC) 및 다른 전자 소자의 제조에서, 도전성, 반도전성, 및 유전성 재료로 구성된 다중층은 기판 표면 상에 증착되거나 상기 표면으로부터 제거된다. 집적 회로 소자는 수평 및 수직 도전 경로를 포함한다. 수평 도전 경로 또는 배선은 통상적으로 라인이라고 하며, 수직 도전 경로 또는 배선은 통상적으로 접촉부 또는 비어(via)라고 한다. 접촉부는 하부 기판 상의 디바이스까지 연장되지만, 비어는 하부 금속층까지 연장된다.
도전성, 반도전성, 및 유전성 재료로 구성된 박막은 다수의 증착 기술에 의해 증착, 형성, 또는 제거될 수 있다. 현재의 처리 공정에서 일반적인 증착 기술은 스퍼터링으로서 공지된 물리 기상 증착(PVD), 화학 기상 증착(CVD), 플라즈마-강화 화학 기상 증착(PECVD), 및 전기 도금 공정이다.
화학 기상 증착(CVD) 공정에서, 기판은 전구체(precursor) 가스에 노출되며, 상기 가스는 기판 표면과 반응하며 기판 상의 반응 결과물을 증착하며 기판 상에 막을 성장시킨다. 이러한 표면 반응은 적어도 2가지 방법으로 활성화될 수 있다. 열 처리에서, 기판은 충분히 고온으로 가열되어 기판에 인접한 전구체 가스가 기판 상에 층과 반응하고 증착하는데 필요한 활성화 에너지를 제공하다. PECVD 공정에서, 전구체 가스는 상기 가스를 원하는 재료를 형성하기 위해 기판 표면 상에서 반응하는 이온 및 레디컬(radical)과 같은 활성화 상태로 여기(excite)시키기에 충분히 높은 전자계가 제공된다.
PECVD는 여러 기판 상에 실리콘 카바이드(SiC)를 증착하기 위한 반도체 소자 제조에 사용된다. 실리콘 카바이드는 차단층, 에칭 정지층, 및 IC 응용에서 프리(pre)-금속 유전체(PMD) 레벨을 포함하는 다중 레벨에서, 반사 방지 코팅(ARC) 층으로서 사용될 수 있는 재료이다. SiC를 증착하기 위한 PECVD 공정은 처리 챔버 내에 실란 가스(SiH4) 및 메탄 가스(CH4)를 도입하는 단계를 포함하며, 상기 챔버 내의 가스는 반응하여 챔버 내에 위치한 기판 상에 실리콘 카바이드 막을 형성한다. 가스 분배 어셈블리는 일반적으로 가스가 챔버 내에 도입될 때 기판 표면에 가스를 균일하게 분배하기 위해 PECVD 챔버 내에서 사용된다. 균일한 가스 분배는 기판 표면 상에 SiC를 균일하게 증착하는데 중요한 단계이다.
도 1은 종래의 유전체 증착 챔버(30)의 단면도이다. 증착 챔버(30)는 페데스탈(32), 챔버벽(34), 및 가스 분배 어셈블리 또는 샤워헤드(40 : showerhead)를 포함한다. 샤워헤드(40)는 통상적으로 챔버 내에 전극으로서 작용하는 하부 평면을 제공한다. 그러나, 도 1에서 도시된 바와 같이, PECVD 공정 및 하드웨어는 일부 공정에서 증착의 균일성, 재생산성, 및 신뢰성의 문제를 설명한다. 예를 들어, 도 2는 도 1에서 도시된 통상적인 챔버를 이용하여 처리된 기판 상의 통상적인 플라즈마 전하 밀도를 도시한다. 도시된 바와 같이, 플라즈마 전하 밀도는 기판의 전제 표면에서 균일하지 않다. 또한, 플라즈마 밀도는 중심(77)에서 보다 기판의 에지에서 크다. 통상적으로, 증착의 균일성은 전극 주위의 증가된 플라즈마 밀도의 결과로서 중심에 비해 기판의 에지에서 더 두껍거나 크다.
따라서, 증착 공정 상의 플라즈마 에지 효과를 방지하기 위한 경제적인 해결책이 필요하며, 이에 의해 증착의 균일성, 재생산성, 및 신뢰성을 크게 향상시킬 필요가 있다.
도 1은 종래의 통상적인 유전체 증착 챔버의 단면도.
도 2는 도 1에 도시된 통상적인 유전체 증착 챔버를 사용하여 처리된 기판 상의 플라즈마 전하 밀도 패턴을 도시한다.
도 3은 캘리포니아, 산타 클라라에 소재한 어플라이드 머티리얼스 사에서 입수 가능한, 전극 확장 부재를 가지는, CVD DXZ 챔버의 단면도.
도 4는 도 3에서 도시된 가스 전달 어셈블리의 분해 단면도.
도 5는 전극 확장 부재의 선택적 실시예를 도시한 가스 전달 시스템의 단면도.
도 6은 환형 전극 확장 장치를 사용한 기판 상의 플라즈마 전하 밀도 패턴을 도시한 도.
*도면의 주요 부분에 대한 부호의 설명*
20 : 챔버 22 : 챔버 몸체
23 : 내부 진공 챔버 24 : 플라즈마 처리 영역
32 : 페데스탈 34 : 챔버벽
36 : 기판 38 : 상승핀
40 : 샤워헤드 42 : 가스 분배 면판
44 : 전극 확장 부재 45 : 방지판
46 : 가스 공급 드럼 47, 48 : 홀
49 : 플랜지 50 : 전원 공급기
60 : 베이스 플레이트 255 : 상부 표면
261 : 캡 263 : 하부 표면
271, 275 : 봉인
본 발명은 기판 처리 챔버의 처리 영역 내에 플라즈마 가스를 형성하는 장치에 관한 것이다. 일 측면에서, 환형 전극 장착 표면을 가지는 상부, 및 내부 환형 벽 및 외부 환형 벽으로 형성된 하부를 포함하며, 처리 챔버 내에 플라즈마를 형성하는, 장치가 제공된다. 내부 환형 벽은 초크(choke) 개구를 형성하기 위해 외부 환형 벽에 대해 수직으로 연장된다. 다른 측면에서, 환형 전극 표면을 가지는 상부, 및 내부 형성벽 및 외부 형성벽을 가지는 상부로 형성되는 하부를 포함하는 장치가 제공된다. 또 다른 측면에서, 가스 인입구 및 가스 배출구를 가지는 가스 분배 어셈블리, 및 전극 장착 표면을 가지는 상부 및 내부 환형 벽과 외부 환형 벽을 가지는 상부로 형성된 하부를 포함하며, 처리 가스를 전달하는, 장치가 제공된다.
또 다른 측면에서, 처리 챔버는 상기 챔버 내에 플라즈마를 형성하기 위해 제공된다. 처리 챔버는 처리 공동을 형성하는 챔버 몸체, 처리 공동 내에 배치되는 기판 지지 부재, 적어도 하나의 가스 인입구 및 적어도 하나의 가스 배출구를 가지는 가스 분배 어셈블리, 및 전극 장착 표면을 가지는 상부 및 내부 환형 벽과 외부 환형 벽을 가지는 상부로 구성된 하부를 포함하는 상부를 가지는 환형 부재를 포함한다.
전술한 본 발명의 특징, 장점, 및 목적이 달성되고 상세히 이해될 수 있도록 하기 위하여, 본 발명은 첨부된 도면을 참조로 설명된 실시 형태를 통해 더욱 구체적으로 설명될 것이다.
그러나, 본 발명은 다른 동일한 효과를 가지는 실시 형태에도 사용될 수 있기 때문에, 첨부된 도면은 본 발명의 통상적인 실시 형태만을 도시하고 있으며, 따라서, 본 발명은 상기 실시 형태에 제한되지 않는다.
본 발명은 기판 처리 챔버의 플라즈마 영역 내에 초크 개구를 형성하는 전극 확장 부재에 관한 것이다. 초크 개구는 보다 큰 플라즈마 밀도가 통상적으로 형성되는 기판 에지에서 플라즈마 영역의 체적을 감소시킨다. 확장 부재는 적어도 부분적으로 플라즈마 영역의 주변으로 확장되어, 기판 에지 주위의 플라즈마 체적을 감소시킨다. 또한, 전극 확장 부재는 하부 확장 부분을 제공하여, 플라즈마 측면경계를 분명하게 정의한다. 따라서, 전극 확장 부재는 형성벽의 손실을 방지하기 위해 처리 챔버의 하부 형성벽에서 떨어지게 플라즈마를 형성한다. 그 결과로, 기판의 전체 표면에 더욱 균일한 층이 증착된다.
많은 기판 처리 챔버는 상업적으로 이용될 수 있다. 설명을 명확하게 하고 간단하게 하기 위해서, 하기에서 기술된 사항은 주로 캘리포니아 산타 클라라에 소재한 어플라이드 머트리얼 사가 제조한 CVD DxZ 챔버로 알려지고, Zhao 등의 미국 특허 제 5,558,717에 기술된 처리 챔버에 관한 것이다.
도 3은 CVD DxZ 챔버의 단면도이다. CVD DxZ 챔버(20)는 플라즈마 처리 영역(24)을 갖는 내부 진공 챔버(23)를 한정하는 특히 알루미늄으로 제조된 챔버 몸체(22)를 포함한다. 챔버(20)는 원하는 물질을 화학기상증착하도록 기판(36)을 지지하는 지지표면(34)을 가진 받침대(32)를 포함한다. 수직으로 움직이는 상승핀(38)은 지지표면(34)으로 지지표면으로부터의 기판(36)의 전달을 용이하게 한다. 챔버는 공정가스를 유입시키고 가스를 챔버(20)로 유입시키는 가스 전달 어셈블리(40), 및 기판(36)에의 증착효과를 주는 공정 가스 플라즈마를 생산하고 지속시키는 RF 전원공급기(50)를 추가로 포함한다.
가스 전달 어셈블리(40)는 챔버 몸체(22)의 상부 끝단에 베이스 플레이트(60)에 위치하고, 종종 샤워헤드와 관계된 가스 분배 면판(42), 전극 확장부재(44), 방지판(45) 및 가스주입 드럼(46)을 포함한다. 가스는 가스 주입 드럼(46)내에 형성된 중앙 가스 유입구(80)를 통해서 가스 전달 어셈블리(40)에 제공된다. 도시되지는 않았지만, 공정 가스 유입구(80)는 하나이상의 업스트림 가스 소스 및/또는 가스 믹서와 같은 다른 가스 전달 구성요소와 연결된다. 공정 가스 유입구(80)는 가스 주입 드럼(46)의 하부 표면(263) 및 샤워헤드(42)의 상부 표면(255)에 의해서 한정되는 캡(261)으로 유체가 전달될 수 있다.
방지판(45)은 갭(261)내에서 가스-주입 커버 플레이트(46)위에 위치한다. 방지판(45)은 바람직하게는 알루미늄 합금으로 만들어지고, 가스 유입구(80)에서 샤워헤드(42)로 가스를 분산시키는 데 적합한 그 내부에 형성된 통로 또는 홀(47)을 포함한다.
샤워헤드(42)는 가스를 공정 영역(24)으로 주입시키는 데 적합한 다수의 홀(48) 및 가스 전달 어셈블리(40)를 지지하기 위해 격리 링(70) 상에 위치한 샤워헤드(40)의 내부 구성요소인 고리모양의 플랜지(49)를 가진다. 샤워헤드(42)는 실질적으로 디스크 형상이며, 알루미늄 합금과 같이 높은 열 전도율과 낮은 열 접촉 저항(Rc)을 가지는 물질로 제조된다. 바람직하게는 봉인(seal, 275)은 격리 링(70)으로 가스가 유출되지 않도록 고리모양의 플랜지 내에 위치한다. 격리 링(70)은 세라믹 또는 중합체 물질과 같은 비전도성 물질로 구성되며, 접지된 베이스 플래이트(60)로부터 RF 전력을 격리시킨다.
가스주입 드림(46)은 가스주입 드럼(46)의 주변에 형성된 고리형상의 플랜지(273)를 포함한다. 고리형상의 플랜지(273)는 샤워헤드(42) 주변길이에 의존하여 크기가 결정된다. 바람직하게는, 샤워헤드로 유체가 유출되지 않도록 봉인(271)은 고리형상의 플랜지(273)내에 위치한다. 가스-주입 드럼 플래이트(46)는 바람직하게는 알루미늄 또는 알루미늄 합금으로 만들어진다. 가스-주입 드럼(46)은 또한 원하는 온도에서 가스 전달 어셈블리(40)를 유지시키는 물 또는 다른 유체를 포함하는 여러 번 감긴 냉각/가열 채널(도시되지 않음)을 포함한다. 가스-주입 드럼(46)은 열 전달이 일어나는 샤워헤드(42) 상에 위치한다. 전력원(50)은 직류 또는 라디오 주파수(RF)의 교류형태의 전력을 샤워헤드(42)에 플라즈마를 생산하기 위해서 공급한다.
전극 확장부재(44)는 고리형상의 부재 또는 링-형상의 부재이다. 일실시예에서, 전극 확장부재(44)는 도 3에 도시된 바와 같이 샤워헤드(40)의 주변에 위치한다. 이와 달리, 전극 확장부재(40)는 도 5와 관련되어 하기에서 기술된 가스 전달 어셈블리의 하부 표면 도는 기판의 한 쪽 또는 양쪽 표면에 따라 형상이 결정된다.
동작 중에, 실리콘 카바이드(SiC) 막과 같은 막은 받침대(32) 위에 위치한 기판(36)에 증착될 수 있다. 받침대(32)는 기판(36)을 샤워헤드(42) 근처에까지 올린다. 예를 들어 트리메틸실란 및 헬륨 또는 아르곤과 같은 귀한 가스를 포함하는 공정가스는 가스가 방지판(45)의 구멍을 통해서 샤워헤드의 뒤쪽까지 흐르는 중앙 가스 유입구(80)를 통해서 챔버내로 주입된다. 공정 가스는 화살표로 표시된 바와 같이 샤워헤드(42)의 홀(45)을 통해서 공정영역(24) 및 기판(36)으로 흐른다. 기판(36)에 도착하자마자, 공정가스는 상부 표면에서 반응한다. 계속적으로, 공정가스 생산물은 기판의 끝단을 가로질러 펌핑 채널(23)로 밖으로 방사적으로 흐르고, 진공시스템(도시되지 않음)에 의해서 챔버에서 소모된다. SiC 막을 형성하는동안에, 챔버의 압력은 약 3 내지 10 torr, 보다 바람직하게는 약 6 내지 10 torr의 압력이다. 하나의 13.56MHz RF 주파수 공급기는 4.3 내지 10 watts/cm2의 전력밀도로 약 300 내지 700 와트, 보다 바람직하게는 5.7 내지 8.6 watts/cm2의 전력밀도로 약 400 내지 600 와트의 전력을 실리콘에 기초한 가스를 갖는 챔버에 플라즈마를 형성시키도록 양극 및 음극에 제공한다. RF 전력공급기는 챔버내에 유입된 반응물의 분해를 증가시키기 위해서 대체적으로 13.56MHz의 높은 RF 주파수 및 360kHz의 낮은 RF 주파수의 전력을 공급하는 혼합-주파수 RF 전력공급기일 것이다. 기판 표면의 온도는 약 200℃ 내지 400℃, 보다 바람직하게는 약 300℃ 내지 약 400℃이다.
SiC의 증착에 있어서, 여기서 기술된 증착 하드웨어는 다른 유전성 비반사 코팅(DARC) 물질, 산화물(SixOy), 탄소로 도핑된 실리콘 산화물(SixOy:C), 탄소로 도핑된 실리콘 질화물(SixNy:C) 또는 낮은 유전성 물질과 같은 증착물질과 같이 사용될 것이다.
도 4는 도 3에 도시된 바와 같이 가스 전달 어셈블리(40)의 파열된 단면도이다. 가스 전달 어셈블리(40)는 그 주변에 위치한 전극 확장부재(280)를 가지는 샤워헤드(42)를 포함한다. 전극 확장부재(280)는 하부 단면(284)과 통합적으로 형성된 상부 단면(282)을 포함한다. 하부단면(284)은 내부 벽(289) 및 외부 벽(287)을 포함한다. 외부벽(287)의 지름은 실질적으로 상부 단면(282)의 외부벽(286)의 지름과 같다. 내부벽(289)의 지름은 실질적으로 상부단면(282)의 내부벽(288)의 지름과 같고, 하부 단면(282)의 외부벽(287)의 방향으로 수직으로 분기한다. 내부 벽(289)은 약 30도 내지 약 70도의 각도로 분기된다. 바람직하게는 내부벽(289)은 약 45동의 각도로 분기한다.
상부 단면(282)의 내부벽(288)은 바람직하게 볼트와 같은 연결체(298, 299)에 의해서 양호한 전기적 연결을 이루도록 샤워헤드(42)의 주위와 연결된다. 상부단면(282)은 실질적으로 격리 링(70)의 하부 페이스(256)에 연결되는 평면 상부 표면(283)을 가진다. 잘 인접시키기 위해서, 상부 표면(283) 및 하부 페이스(256)는 가스 전달 어셈블리(40)의 방사축(291)에 수평되는 내부표면을 한정한다.
도 5는 전극 확장부재(380)의 다른 실시예를 도시하는 분해된 단면도이다. 전극 확장부재(380)는 바람직하게는 한정된 측면 확장력을 가진 공정 챔버와 함께 사용된다. 전극 확장 부재(380)는 상부 표면(386), 내부벽(384) 및 외부벽(382)을 포함한다. 외부벽(382)의 지름은 실질적으로 샤워헤드(42)의 지름과 같다. 내부벽(384)의 지름은 실질적으로 기판(도시되지 않음)의 지름과 같고 외부 벽(382)쪽으로 수직으로 분기한다. 내부벽(384)은 약 30도 내지 약 70도의 각도로 외부벽(382)쪽으로 분기한다. 바람직하게는, 내부벽(384)은 외부벽(382)쪽으로 약 45도의 각도로 분기한다.
전극 확장부재(380)는 샤워헤드(42)의 하부 페이스(354) 상에 위치한다. 전극 확장부재(380)의 실질적인 평면 상부 표면(386)은 양호한 전기 전달이 이루어지도록 볼트 또는 동일한 연결체(도시되지 않음)에 의해서 샤워헤드(42)의 하부 페이스(354)에 연결된다. 짝 접합부에서, 상부 장착 표면(386) 및 하부면(354)은 가스전달 어셈블리(349)의 방사상 축(391)과 평행한 인터페이스를 형성한다.
전극 확장 부재(280 및 380)는 고온 전도성 및 높게 마무리된 표면을 가지는 알루미늄 합금 같은 저온 접촉 저항(Rc)를 가지는 재료로 구성된다. 전극 확장 부재(280 및 380)는 통상적으로 샤워헤드(42)와 같은 재료로 구성된다. 선택적으로, 다른 실시예에서, 샤워헤드(42)는 여기에 기술된 바와같이 전극 확장 부재(280 및 380)의 아래쪽 확장 부분을 포함하도록 단일 조각의 알루미늄 또는 다른 적당한 재료로부터 밀링된다.
도 3, 4 및 5에 도시된 가스 전달 어셈블리(40)는 환형 부재 또는 링형 부재인 것으로 기술된다. 그러나, 본 발명은 특정 모양으로 제한되지 않는다. 환형 평행 사변형 및 다른 모양 같은 다른 구조적 구성은 고려될수있다.
본 발명은 다음 비제한 실시예에서 추가로 기술될 것이다.
실시예 1
기판은 도 3에 도시된 처리 챔버를 사용하여 처리된다. 평균 923 옴스트롱의 두께를 가지는 실리콘 카바이드 필름은 실리콘 기판상에 배치된다. 증착 균일도는 UV-145SE 박막 측정 시스템에 의해 측정된다. 증착 두께는 기판 표면을 가로질러 1.6 퍼센트의 측정 표준 편차를 가진다. 도 6에 도시된 바오같이, 기판은 도우넛 모양 구조를 나타내지 않고 증착은 기판 표면을 가로질러 균일하다.
비교 실시예
증착 처리는 도 1에 도시된 바와같이 통상적인 챔버를 사용하여 수행된다. 977 옴스트롱의 평균 두께를 가지는 실리콘 카바이드 필름은 실리콘 기판상에 증착된다. 도 2에 도시된 바와같이, 도우넛 모양 구조는 번호 77로 표현된 기판 표면을 가로질러 나타난다. 증착 균일도는 동일한 UV-145SE 박막 측정 시스템에 의해 측정된다. 증착 두께는 3.8 퍼센트의 측정 표준 편차를 가진다.
상기된 바는 본 발명의 바람직한 실시예에 관한 것이지만, 본 발명의 다른 실시예는 본 발명의 기본적인 범위에서 벗어나지 않고 변형될수있고, 그 범위는 다음 청구범위에 의해 결정된다.
본 발명은 경제적으로 증착 공정 상의 플라즈마 에지 효과를 방지하며, 이에 의해 증착의 균일성, 재생산성, 및 신뢰성을 크게 향상시킬수 있는 효과를 가진다.

Claims (37)

  1. 처리 챔버내에 플라즈마를 형성하기 위한 장치로서,
    환형 전극 장착 표면을 가지는 상부 섹션; 및
    내부 환형 벽 및 외부 환형 벽을 가지는 상부 섹션과 일체형으로 형성된 하부 섹션을 포함하는 장치.
  2. 제 1 항에 있어서, 내부 환형 벽은 수직에서 외부 환형 벽쪽으로 기울어진 것을 특징으로 하는 장치.
  3. 제 1 항에 있어서, 상기 장치는 알루미늄 합금 또는 그와 유사한 금속 합금을 포함하는 것을 특징으로 하는 장치.
  4. 제 1 항에 있어서, 상기 하부 섹션의 내부 형성 벽은 수직에서 약 30 도 내지 약 70 도 기울어진 것을 특징으로 하는 장치.
  5. 제 1 항에 있어서, 상기 내부 환형 벽은 수직에서 약 45 도의 각도로 기울어진 것을 특징으로 하는 장치.
  6. 제 1 항에 있어서, 샤워헤드 전극을 더 포함하고, 상기 상부 장착 표면은 샤워헤드 전극에 인접하게 배치되어 우수한 전기 전달을 제공하는 것을 특징으로 하는 장치.
  7. 기판 처리 챔버내에 처리 가스를 분배하기 위한 장치로서,
    가스 인입부 및 가스 배출부를 가지는 가스 분배 어셈블리; 및
    전극 장착 표면을 가지는 상부 섹션과, 내부 환형 벽 및 외부 환형 벽을 가지는 외부 섹션과 일체형으로 형성된 하부섹션을 포함하는 환형 부재를 포함하고, 상기 내부 환형 벽은 수직에서 외부 환형 벽쪽으로 기울어진 장치.
  8. 제 7 항에 있어서, 상기 내부 환형 벽은 수직에서 약 30 도 내지 약 70 도 기울어진 것을 특징으로 하는 장치.
  9. 제 7 항에 있어서, 상기 가스 인입부는 관통되도록 형성된 적어도 하나의 구멍을 가지는 가스 공급 드럼을 포함하는 것을 특징으로 하는 장치.
  10. 제 9 항에 있어서, 상기 가스 공급 드럼은 전력 공급기에 접속되는 것을 특징으로 하는 장치.
  11. 제 7 항에 있어서, 관통되도록 형성되고 가스 인입부상에 배치된 다수의 구멍을 가지는 블록커 플레이트를 더 포함하는 것을 특징으로 하는 장치.
  12. 제 7 항에 있어서, 상기 가스 배출부는 관통되도록 형성된 다수의 구멍을 가지는 면판을 포함하는 것을 특징으로 하는 장치.
  13. 제 12 항에 있어서, 상기 전극 장착 표면은 하나 이상의 패스너에 의해 면판에 접속되는 것을 특징으로 하는 장치.
  14. 제 13 항에 있어서, 상기 전극 장착 표면은 우수한 전기 전달을 제공하기 위하여 면판의 하부 표면에 일치하는 것을 특징으로 하는 장치.
  15. 제 13 항에 있어서, 상기 환형 부재는 면판의 일체부인 것을 특징으로 하는 장치.
  16. 처리 챔버내에 플라즈마를 형성하기 위한 장치로서,
    상부 장착 표면을 가지는 환형 부재, 내부 형성 벽, 및 외부 형성 벽을 포함하는 장치.
  17. 제 16 항에 있어서, 샤워헤드 전극을 더 포함하고, 상기 상부 장착 표면은 우수한 전기 전달을 제공하기 위하여 샤워헤드 전극에 인접하게 배치되는 것을 특징으로 하는 장치.
  18. 제 17 항에 있어서, 상기 내부 형성 벽은 수직에서 외부 형성 벽쪽으로 기울어진 것을 특징으로 하는 장치.
  19. 제 17 항에 있어서, 상기 내부 형성 벽은 수직에서 약 30 도 내지 약 70 도 기울어진 것을 특징으로 하는 장치.
  20. 제 17 항에 있어서, 상기 내부 형셩 벽은 수직에서 약 45 도 기울어진 것을 특징으로 하는 장치.
  21. 기판 처리 챔버내에서 처리 가스를 분배하기 위한 장치에 있어서,
    가스 인입부 및 가스 배출부를 가지는 가스 분배 어셈블리; 및
    상부 장착 표면, 내부 형성 벽, 및 외부 형성 벽을 포함하는 환형 부재를 포함하고, 상기 내부 형성 벽은 수직에서 외부 형성 벽으로 기울어진 것을 특징으로 하는 장치.
  22. 제 21 항에 있어서, 상기 내부 형성 벽은 수직에서 약 30 도 내지 약 70 도 기울어진 것을 특징으로 하는 장치.
  23. 제 21 항에 있어서, 상기 가스 인입부는 관통하도록 형성된 적어도 하나의구멍을 가지는 가스 공급 드럼을 포함하는 것을 특징으로 하는 장치.
  24. 제 23 항에 있어서, 상기 가스 공급 드럼은 전력 공급기에 접속되는 것을 특징으로 하는 장치.
  25. 제 21 항에 있어서, 관통하도록 형성되고 가스 인입부상에 배치된 다수의 구멍을 가지는 블록커 플레이트를 더 포함하는 것을 특징으로 하는 장치.
  26. 제 21 항에 있어서, 상기 가스 배출부는 관통하도록 형성된 다수의 구멍을 가지는 면판을 포함하는 것을 특징으로 하는 장치.
  27. 제 21 항에 있어서, 상기 환형 부재는 하나 이상의 패스너에 의해 가스 배출부에 접속되는 것을 특징으로 하는 장치.
  28. 제 26 항에 있어서, 상기 환형 부재는 면판과 일체형인 것을 특징으로 하는 장치.
  29. 제 21 항에 있어서, 상기 상부 장착 표면은 우수한 전기 전달을 제공하기 위하여 가스 배출부에 결합되는 것을 특징으로 하는 장치.
  30. 처리 챔버로서,
    처리 공동을 형성하는 챔버 몸체;
    상기 처리 공동내에 배치된 기판 지지 부재;
    적어도 하나의 가스 인입부 및 적어도 하나의 가스 배출부를 가지는 가스 분배 어셈블리; 및
    전극 장착 표면을 가지는 상부 섹션과, 내부 환형 벽 및 외부 환형 벽을 가지는 상부 섹션과 일체형으로 형성된 하부 섹션을 포함하는 환형 부재를 포함하고, 상기 내부 형성 벽은 수직에서 외부 형성 벽쪽으로 기울어진 것을 특징으로 하는 처리 챔버.
  31. 제 30 항에 있어서, 상기 하부 섹션의 내부 형성 벽은 수직에서 약 30 도 내지 약 70 도로 기울어진 것을 특징으로 하는 처리 챔버.
  32. 제 30 항에 있어서, 상기 전극 장착 표면은 우수한 전기 전달을 제공하기 위하여 가스 분배 어셈블리에 인접하게 배치되는 것을 특징으로 하는 처리 챔버.
  33. 제 30 항에 있어서, 상기 환형 부재는 하나 이상의 패스너에 의해 가스 전달 시스템에 접속되는 것을 특징으로 하는 처리 챔버.
  34. 처리 챔버로서,
    처리 공동을 형성하는 챔버 몸체;
    처리 공동내에 배치된 기판 지지 부재; 및
    상부 장착 표면, 내부 형성 벽, 및 외부 형성 벽을 포함하는 환형 부재를 가지는 가스 전달 시스템을 포함하고, 상기 내부 형성 벽은 수직에서 외부 형성벽으로 기울어진 것을 특징으로 하는 처리 챔버.
  35. 제 34 항에 있어서, 상기 내부 형성 벽은 수직에서 약 30 도 내지 약 70 도 기울어진 것을 특징으로 하는 처리 챔버.
  36. 제 34 항에 있어서, 상기 환형 부재의 상부 장착 표면은 우수한 전기 전달을 제공하기 위하여 가스 전달 시스템의 하부 표면에 일치하는 것을 특징으로 하는 처리 챔버.
  37. 제 34 항에 있어서, 상기 환형 부재는 하나 이상의 패스너에 의해 가스 전달 시스템에 접속되는 것을 특징으로 하는 처리 챔버.
KR1020010026010A 2000-05-12 2001-05-12 플라즈마 강화 cvd 프로세스들에 대한 플라즈마 에지 효과의 감소 KR100728651B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20373200P 2000-05-12 2000-05-12
US60/203,732 2000-05-12

Publications (2)

Publication Number Publication Date
KR20010104669A true KR20010104669A (ko) 2001-11-26
KR100728651B1 KR100728651B1 (ko) 2007-06-15

Family

ID=22755097

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010026010A KR100728651B1 (ko) 2000-05-12 2001-05-12 플라즈마 강화 cvd 프로세스들에 대한 플라즈마 에지 효과의 감소

Country Status (6)

Country Link
US (1) US6553932B2 (ko)
EP (1) EP1154040B1 (ko)
JP (1) JP4808330B2 (ko)
KR (1) KR100728651B1 (ko)
DE (1) DE60136031D1 (ko)
TW (1) TW495816B (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100540992B1 (ko) * 2002-11-18 2006-01-11 코리아세미텍 주식회사 웨이퍼 에칭용 전극제조방법
KR100734775B1 (ko) * 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
KR100829922B1 (ko) * 2006-08-24 2008-05-16 세메스 주식회사 플라즈마 처리 장치 및 방법
KR200452532Y1 (ko) * 2008-11-06 2011-03-07 주식회사 테스 가스 분사 유닛
KR101228996B1 (ko) * 2004-02-26 2013-02-04 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 어셈블리
KR20130138474A (ko) * 2012-06-11 2013-12-19 세메스 주식회사 기판 처리 장치
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
KR20180038140A (ko) * 2016-10-06 2018-04-16 주성엔지니어링(주) 기판 처리 장치의 샤워 헤드

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905079B2 (en) * 2000-09-08 2005-06-14 Tokyo Electron Limited Shower head structure and cleaning method thereof
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US20050100682A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7452660B1 (en) * 2004-08-11 2008-11-18 Lam Research Corporation Method for resist strip in presence of low K dielectric material and apparatus for performing the same
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
KR20080031473A (ko) * 2005-07-27 2008-04-08 어플라이드 머티어리얼스, 인코포레이티드 입자 형성을 방지하기 위한 cvd 차단 플레이트용 부동화기술
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100769522B1 (ko) 2006-10-25 2007-11-06 주식회사 유진테크 화학기상증착장치의 샤워헤드
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
CN101939466B (zh) * 2008-02-06 2012-07-18 友技科株式会社 等离子体cvd装置、等离子体cvd方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) * 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
CN102934203B (zh) * 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
HUP1100436A2 (en) * 2011-08-15 2013-02-28 Ecosolifer Ag Gas flow system for using in reaction chamber
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101477602B1 (ko) * 2012-10-30 2014-12-30 피에스케이 주식회사 기판 처리 장치
KR102017744B1 (ko) 2012-12-12 2019-10-15 삼성디스플레이 주식회사 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9741575B2 (en) 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US20180294139A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gas phase particle reduction in pecvd chamber
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP2022502845A (ja) * 2018-09-26 2022-01-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ガス分配アセンブリおよびその動作
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110656317A (zh) * 2019-09-19 2020-01-07 长江存储科技有限责任公司 喷头组件、沉积设备及沉积方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111243934B (zh) * 2020-03-03 2023-02-03 宁波江丰电子材料股份有限公司 一种环件连接部的翻新方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230024400A (ko) * 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6269620A (ja) * 1985-09-24 1987-03-30 Anelva Corp プラズマ処理装置
JPH02143423A (ja) * 1988-11-25 1990-06-01 Hitachi Ltd プラズマ処理装置
JP3029494B2 (ja) * 1991-10-31 2000-04-04 東京エレクトロン株式会社 プラズマ装置
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
JP3224011B2 (ja) * 1996-05-23 2001-10-29 シャープ株式会社 プラズマ励起化学蒸着装置及びプラズマエッチング装置
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100540992B1 (ko) * 2002-11-18 2006-01-11 코리아세미텍 주식회사 웨이퍼 에칭용 전극제조방법
KR101228996B1 (ko) * 2004-02-26 2013-02-04 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 어셈블리
KR100734775B1 (ko) * 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
KR100829922B1 (ko) * 2006-08-24 2008-05-16 세메스 주식회사 플라즈마 처리 장치 및 방법
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
KR200452532Y1 (ko) * 2008-11-06 2011-03-07 주식회사 테스 가스 분사 유닛
KR20130138474A (ko) * 2012-06-11 2013-12-19 세메스 주식회사 기판 처리 장치
KR20180038140A (ko) * 2016-10-06 2018-04-16 주성엔지니어링(주) 기판 처리 장치의 샤워 헤드

Also Published As

Publication number Publication date
JP2002158179A (ja) 2002-05-31
US6553932B2 (en) 2003-04-29
TW495816B (en) 2002-07-21
DE60136031D1 (de) 2008-11-20
US20010042511A1 (en) 2001-11-22
EP1154040A2 (en) 2001-11-14
EP1154040B1 (en) 2008-10-08
KR100728651B1 (ko) 2007-06-15
EP1154040A3 (en) 2004-01-02
JP4808330B2 (ja) 2011-11-02

Similar Documents

Publication Publication Date Title
KR100728651B1 (ko) 플라즈마 강화 cvd 프로세스들에 대한 플라즈마 에지 효과의 감소
KR100355914B1 (ko) 저온플라즈마를이용한직접회로제조방법
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
KR100522903B1 (ko) 화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재
KR100440658B1 (ko) 플라스마 방전 기체가 처리 챔버로 주입되는 고전력 rf전극을 절연시키는 방법 및 장치
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US6050506A (en) Pattern of apertures in a showerhead for chemical vapor deposition
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6106625A (en) Reactor useful for chemical vapor deposition of titanium nitride
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
TW201740425A (zh) 電漿源之腔室構件與具有用以平移基板c形環之徑向向外定位的上升銷之底座
KR20080015364A (ko) 표면 프로세싱 장치들
JP7002655B2 (ja) 低周波バイアスを利用した誘電体膜の形状選択的な堆積
US20070221129A1 (en) Apparatus for depositing atomic layer using gas separation type showerhead
EP0855452B1 (en) Process and apparatus for depositing titanium layers
KR102329646B1 (ko) 다수 샤워헤드의 전력 및 가스 공급구조를 구비한 기판처리장치
CN113308683B (zh) Pe-cvd设备及方法
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
KR20020021872A (ko) 부도체로 이루어진 샤워헤드를 구비하는 hdpㅡcvd장치
KR20010063770A (ko) 플라즈마를 이용하는 반도체소자 제조장치 및 이 장치를이용한 박막형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee