KR100440658B1 - 플라스마 방전 기체가 처리 챔버로 주입되는 고전력 rf전극을 절연시키는 방법 및 장치 - Google Patents

플라스마 방전 기체가 처리 챔버로 주입되는 고전력 rf전극을 절연시키는 방법 및 장치 Download PDF

Info

Publication number
KR100440658B1
KR100440658B1 KR10-2000-7013439A KR20007013439A KR100440658B1 KR 100440658 B1 KR100440658 B1 KR 100440658B1 KR 20007013439 A KR20007013439 A KR 20007013439A KR 100440658 B1 KR100440658 B1 KR 100440658B1
Authority
KR
South Korea
Prior art keywords
insulator
passage
showerhead
processing
gas
Prior art date
Application number
KR10-2000-7013439A
Other languages
English (en)
Other versions
KR20010043913A (ko
Inventor
글로바토스테판엔.
밀게이트로버트더블유Ⅲ
콘솔리파울루이스
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR20010043913A publication Critical patent/KR20010043913A/ko
Application granted granted Critical
Publication of KR100440658B1 publication Critical patent/KR100440658B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

기판(30)을 처리하기 위한 처리 시스템(10)은 처리 공간(20)내에서 기판(30)을 지지하기 위한 지지 구조를 포함하는 처리 챔버(processing chamber)(16)를 구비한다. 기체 입구(gas inlet)(21)는 처리 기체(16)를 주입하고, 샤워헤드(showerhead)(14)는 입구(21)로부터 처리 기체를 분산시킨다. 전기 에너지는 플라스마를 형성하기 위해 샤워헤드(14)를 바이어스하고, 제 1 및 제 2 전기적 절연체 소자들(12)은 샤워헤드(14)를 전기적으로 절연시키기 위해 샤워헤드(14)와 처리 챔버(16) 사이에 위치한다. 전기적 절연체 소자들(12) 각각은 처리 기체(16)를 전달하기 위한 통로(passage)(46)를 갖고, 절연체 소자들의 각 통로들은 서로 측면으로 공간을 둔다. 채널은 소자들 중 하나에서 형성되고 통로들(46)을 함께 연결시키고 완전한 통로를 형성하도록 공간을 둔 통로들 사이에 확장된다.

Description

플라스마 방전 기체가 처리 챔버로 주입되는 고전력 RF 전극을 절연시키는 방법 및 장치 {Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber}
기체 플라스마는 반도체 기판에 적용되는 플라스마 에칭 및 플라스마 침착 애플리케이션들을 포함하는 다양한 집적 회로(IC) 제조 공정들에서 널리 사용된다. 일반적으로, 이러한 플라스마는, 처리 챔버(chamber)에 저압 처리 기체를 주입하고 그 다음 거기에 전기장을 생성하도록 챔버에 전기 에너지를 가함으로써 처리 챔버내에서 만들어진다. 전기장은 전자-분자 충돌을 통해 기체 분자에 운동 에너지를 전달함으로써 각 처리 기체 분자를 이온화시키는 전자 흐름을 챔버내에 생성한다. 전자 흐름중의 전자들은 기체 분자의 효과적인 이온화를 위해 처리 챔버의 전기장내에서 가속되고, 처리 기체의 이온화된 분자와 자유 전자는 집합적으로 기체 플라스마 또는 플라스마 방전이라 칭하여지는 것을 형성한다.
처리 챔버내에서 생성된 기체 플라스마는 기판의 노출된 표면을 에칭하는 것과 같이, 임의의 추가 처리 기체 없이 사용될 수 있거나, 기판에 다양한 물질층들을 침착하기 위해 선택된 다른 처리 기체와 조합하여 사용될 수 있다. 예를 들면, 에칭 처리내에서, 이온화된 플라스마 입자들은 일반적으로 양의 값으로 충전되고, 기판에 충격을 가하여 기판으로부터 물질층을 에칭 및 제거하기 위해 양의 플라스마 입자들이 기판 표면으로 끌어당겨지도록 기판은 음의 값으로 바이어스(bias)된다.
예를 들어, IC 제조를 위해 전도성 또는 저항성 접촉(ohmic contact)들을 제공하기 위해 기판에 얇은 물질막 또는 물질층을 침착할 필요가 있다면, 화학적 기상 침착(chemical vapor deposition, CVD)과 같은 침착 처리가 사용될 수 있다. CVD에서, 처리 기체는 처리 챔버로 주입되고, 기체는 원하는 물질층을 형성하기 위해 기판상에 침착되는 반응을 형성하도록 기판 부근에서 화학적으로 반응한다. 기체 플라스마를 사용하는 CVD 처리는 일반적으로 플라스마-강화 CVD 또는 PECVD 처리로 불린다. PECVD는 종종 예를 들면, 표준 CVD와 적절한 화학적 반응에 통상적으로 필요한 처리 온도 및 열 에너지를 낮추는데 사용된다. PECVD에서, 플라스마를 형성하고 유지하도록 전해지는 전기 에너지는 화학적 반응에 필요한 열 에너지를 감소시킨다.
플라스마 에칭 및 PECVD에 공통적인 한 하드웨어 구성은 평행판 RF 방전 디바이스로 불린다. 이러한 디바이스에서, 샤워헤드(showerhead)와 같은 평면 기판 지지대 및 평면 기체 공급 소자는 일반적으로 처리 챔버에서 서로 평행하게 지향된다. 전극 중 하나 또는 둘 모두는 하나 또는 그 이상의 처리 기체를 이온화된 플라스마에 통하게 하는 반대 RF 전극들로 동작하도록 RF 에너지로 전기적으로 바이어스된다. 전극들 사이의 거리는 전극들의 치수에 비해 비교적 작고, 그 거리는 예를 들면, 약 1 인치가 될 수 있다. 처리 기체는 샤워헤드 전극내의 작은 홀(hole)들을 통해 전해지고, RF 전력은 샤워헤드에 인가되어, 샤워헤드가 임의의 접지 기준으로부터 절연되도록 요구한다. 이러한 PECVD 처리 및 샤워헤드 구조가 본 출원인이 공동 소유한 미국 특허 No. 5,567,243에 기재되어 있다. 적절한 또 다른 샤워헤드 구조는 또한 본 출원인이 공동 소유한 "CVD 및 PECVD 반응에서 반응 기체의 조기 혼합을 방지하는 장치 및 방법(Apparatus and Method for Preventing the Premature Mixture of Reactant Gases in CVD and PECVD Reactions)"이라는 표제의 미국 특허 일련 No. 08/940,779에 기재되어 있다. 발행된 특허 및 계류중인 출원은 모두 여기에 참고로 포함된다.
본 발명은 일반적으로 집적 회로의 형성시 플라스마 처리(plasma processing)에 관한 것으로, 특히 평행판(parallel plate) 플라스마 방전 디바이스를 사용하는 플라스마 처리에 관한 것이고, 여기서 전극은 절연판을 사용하여 접지 기준(reference ground)으로부터 절연된다.
도 1은 종래 기술의 평행판 디바이스의 측면 단면도.
도 2는 본 발명의 원리들에 따른 절연체 어셈블리의 측면 단면도.
도 3은 도 1 및 도 2에 모두 도시된 디바이스들에 대해 RF 전력에 대한 도시된 수소 플라스마의 로드(load) 저항에서 RF 전극의 DC 바이어스를 도시하는 도면.
도 4a는 본 발명의 원리들에 따른 절연체 어셈블리에 사용되는 절연판의 상단도.
도 4b는 본 발명의 원리들에 따른 도 4a에서와 같은, 절연판의 하단도.
평행판 디바이스의 접지 기준은 일반적으로 전극들이 배치된 금속 처리 챔버이다. 기판 지지 전극은 접지되거나 접지되지 않을 수 있다. 절연 물질(예를 들면, 석영)로 된 판의 형태인 절연체는 접지 챔버와 샤워헤드 전극 사이에 위치한다. 전극들과 절연체판은 통상적으로 평평한 평면 구조들이지만, 굴곡을 가질 수도 있다. 처리 기체가 샤워헤드 전극을 통과하므로, 기체가 절연체판을 통과할 필요가 있다. 그러나, 기체가 샤워헤드 전극을 통과하도록 하기 위해 절연체판에 형성되어야 하는 홀들 또는 개구(opening)들은 플라스마의 안정성으로 결정될 수 있다.
특히, 절연체를 통과하는 개구들은 바이어스된 RF 전극과 접지된 처리 챔버 사이에 플라스마 브레이크다운(breakdown) 경로를 제공한다. 플라스마 브레이크다운은 플라스마가 개구들내에 형성될 때 발생하며, 처리 챔버의 몇몇 다른 부분 또는 챔버 리드(lid)와 같은 접지 기준과 RF 사워헤드 전극 사이에 전기적으로 전도성 경로를 생성한다. 플라스마는 접지까지 휘어지는 경향이 있어 플라스마의 안정성에 악영향을 주고, 그 결과, 플라스마 처리의 안정성에 악영향을 준다. 플라스마 브레이크다운은 통상적으로 특정 RF 전력 레벨 및 시스템 압력에서 발생하므로, 플라스마 방전에 적용될 수 있는 RF 전력을 제한한다. 플라즈마 전력의 제한은 플라즈마의 밀도를 감소시키는 것이다. 브레이크다운 전력과 기체 압력 사이의 관계는 처리 챔버의 치수, RF 주파수, 및 사용되는 처리 기체의 타입과 같이, 다양한 시스템 매개변수들에 의해 결정된다.
절연체 개구들에서 브레이크다운 플라스마의 존재에 대한 부가적인 결점은 처리 시스템이 PECVD에 사용될 때 발생한다. 이러한 경우에, 개구들에서의 플라스마 방전은 거기에 전도성 코팅을 침착시킬 수 있다. 이는 또한 플라스마를 불안정하게 하여, 플라스마 휘어짐(arcing) 및 브레이크다운이 실제로 일어나지 않는 처리 조건들하에서도 문제가 된다.
플라스마 브레이크다운을 방지하기 위한 일부 기술들이 사용된다; 그러나, 이러한 기술들은 일반적으로 플라스마 처리 시스템의 제조를 복잡하게 만들므로, 시스템의 전체 비용을 증가시킨다. 예를 들어, 절연판이 판내의 개구들의 길이들을 증가시키도록 더 두껍게 만들어질 수 있다. 더욱이, 개구들을 통한 유효 경로 길이를 연장시키기 위해 개구들에는 그루브(groove) 또는 플루트(flute)가 프로파일될 수 있다. 또한, 개구들은 개구들을 통한 유효 경로 길이를 연장하도록 기울어질 수 있다. 이러한 기술들은 절연판 구성의 복잡성을 증가시키므로, 판의 제조 비용을 증가시킨다.
브레이크다운 전압의 문제점에 대한 또 다른 해결법은 더 많은 양의 RF 전력이 브레이크다운 없이 플라스마에 전해지도록 허용하는 범위내에서 처리 챔버의 압력을 유지하는 것이다. 그러나, 이러한 제한은 또한 플라즈마 처리에서 평행판 디바이스의 동작 및 그 응용들을 제한한다.
따라서, 본 발명의 목적은 넓은 범위의 처리 조건들 및 압력들에 걸쳐 평행판 방전 디바이스내에서 안정된 플라스마를 유지하는 것이다.
이를 위해, 본 발명의 또 다른 목적은 샤워헤드(showerhead) 전극과 접지 기준 사이의 절연체를 사용하여 평행판 디바이스내에서 플라스마 브레이크다운을 줄이고 방지하는 것이다.
본 발명의 또 다른 목적은 디바이스의 전체 비용 및 복잡성을 증가시키지 않고 평행판 디바이스내에서 플라스마 브레이크다운을 줄이고 방지하는 것이다.
본 발명의 또 다른 목적은 PECVD 처리에서 사용되는 평행판 디바이스의 절연판에서 개구내에 전도성 코팅의 플라스마 침착을 줄이는 것이다.
본 발명은 상기의 목적들을 해결하고, 처리 기체 공급기에서 샤워헤드 전극과 같이 바이어스된 기체-분산 소자로 처리 기체를 전달하기 위해 절연체 소자에 형성된 개구들을 통한 전도로 인해 플라스마 브레이크다운(plasma breakdown)을 줄인다. 그 방식으로, 본 발명은 기판을 처리하는데 전기적으로 안정된 플라스마를 유지한다. 이를 위해, 본 발명의 처리 시스템은 기판을 지지하기 위해 거기에 지지 구조를 포함하는 처리 공간을 정의한 처리 챔버(chamber)를 구비한다. 챔버의 기체 입구는 지지되는 기판 부근의 챔버로 처리 기체를 주입하도록 처리 기체 공급기에 연결된다. 샤워헤드(showhead)와 같은 기체-분산 소자는 또한 기판 부근의 처리 기체를 분산하도록 처리 기체 공급기에 연결된다.
본 발명의 원리들에 따라, 절연체 어셈블리는 샤워헤드와 처리 챔버 사이에 위치하고, 처리 챔버로부터 샤워헤드를 전기적으로 절연시키도록 동작 가능하다. 절연체 어셈블리는 기체 입구로부터 절연체 어셈블리를 통해 처리 기체를 통과시키기 위한 통로를 포함하고, 그 통로는 어셈블리를 통해 직접적인 시선(line-of-sight) 개구를 방지하도록 서로 측면으로 공간을 둔 섹션(section)을 포함한다. 교차 통로 섹션은 측면으로 공간을 둔 통로 섹션 사이에 확장되어, 이들 통로 섹션을 함께 연결시키고 어셈블리를 통해 완전한 통로를 형성한다.
본 발명의 한 실시예에서, 절연체 어셈블리는 석영과 같은 전기적으로 절연되는 물질로 형성된 평면 절연판들과 같은 절연체 소자들을 포함한다. 절연판들은 각각 기체 입구로부터 절연판을 통해 처리 기체를 통과시키기 위한 통로 섹션을 갖는다. 절연판들의 각 통로 섹션들은 절연판들을 통한 직접적인 시선 개구가 방지되도록 서로 측면으로 공간을 두고 떨어져있다. 교차 통로 섹션은 소자들 중 적어도 하나의 소자에 형성되고, 공간을 둔 통로 섹션들 사이에 확장되어, 통로 섹션들을 함께 연결시키고, 판들을 통해 샤워헤드에 처리 기체를 통로시키기 위해 절연판을 통과하는 완전한 통로를 형성한다. 바람직하게, 샤워헤드와 챔버 사이에 직접적인 시선 통로를 방지하여 플라스마 브레이크다운을 줄이고 방지하도록 샤워헤드와 처리 챔버 사이에 적어도 하나 90° 각도가 형성된다. 본 발명의 한 실시예에서는 2개의 인접판들이 사용된다. 다른 방법으로, 본 발명의 원리들에 따라 샤워헤드를 전기적으로 절연시키도록 다수의 쌍의 측면으로 공간을 둔 통로 섹션과 각 채널들을 갖는 다수의 판들이 사용될 수 있다.
본 발명의 한 실시예에서는, 절연판들에 4 쌍의 통로들이 형성되고, 4개의 연관된 쌍의 측면으로 공간을 둔 섹션들 및 교차 통로 섹션들이 사용된다. 판들은 일반적으로 원형 단면을 갖고, 교차 통로 섹션들은 판들의 외형 및 형상에 따라 반원형 형상으로 형성된다. 판들을 통해 다양한 기체 통로들을 형성하기 위해 공간을 둔 각 통로 섹션들과 교차 통로 섹션들 사이에 적절한 정렬을 제공하기 위해 절연판들 사이에는 세라믹 정렬 핀들이 위치한다. 정렬 핀들은 처리 챔버내에서 판들의 적절한 위치 및 정렬을 보장하기 위해 판들 및 처리 챔버와 샤워헤드 사이의 인터페이스들에서 사용될 수 있다.
절연판들을 통한 직접적인 시선, 전도성 경로를 제거함으로서 플라스마 브레이크다운을 방지하는 것에 부가하여, 본 발명은 또한 기체 공급선내에서 전기적 절연 또는 RF 블록킹 구조들을 제거할 수 있고, 이는 일반적으로 전극의 RF 바이어싱이 처리 기체 공급기에 전해지는 것을 방지하도록 처리 시스템들에서 사용된다. 더욱이, 본 발명의 한 실시예에서 다수의 절연판들은 종래 기술의 처리 시스템들에서 사용되는 전형적인 단일 절연판들 보다 더 얇게 만들어질 수 있다. 이와 같이, 가열된 처리 공간이 대기로 배출된다면, 다수의 판들은 열적 충격 및 파손에 덜 민감하다.
다른 목적 및 이점은 하기의 본 발명의 상세한 설명에서 기재될 것이다.본 명세서에 포함되며, 본 명세서의 일부를 구성하는 첨부한 도면들은 본 발명의 실시예들 및 하기에 제공된 본 발명의 일반적인 설명과 함께 도시하며, 본 발명의 원칙들을 설명하는 역할을 한다.
도 1은 IC 제조 동안 반도체들을 처리하는데 사용되며, 평행판 플라스마 방전 디바이스(parallel plate plasma discharge device)를 포함하는 종래 기술의 처리 시스템(10)을 도시한다. 시스템(10)은 처리 기체가 바이어스된 샤워헤드(showerhead)(14)에 전해지는 평면 절연판(12)을 사용한다. 본 출원의 배경에서 상술된 바와 같이, 이러한 구성은 절연판(12)을 직접 통과하는 처리 기체로 인해 플라스마 브레이크다운(plasma breakdown)에 민감하며, 이는 바이어스된 샤워헤드(14) 및 접지된 처리 챔버(chamber)(16) 사이에 및/또는 접지된 처리 기체 공급선(18) 및 연관된 기체 공급 구성 요소들 사이에 전도성 플라스마 경로를 효과적으로 제공한다. 기존 평행판 처리 시스템들에서 플라스마 브레이크다운의 결점은 본 발명에 의해 해결되며, 본 발명은 또한 열 충격의 영향에 더 손상되지 않고, 샤워헤드에서 RF가 기체 공급선을 통해 기체 공급의 구성 요소들로 이동되는 것을 방지하는 RF 브레이크(break) 또는 RF 블록킹 구성 요소들과 같은 다양한 기체 공급 구성요소들을 제거할 수 있는 플라스마 처리 시스템을 포함하는 추가 이점들을 제공한다.
도 1의 기존 시스템(10)은 본 발명이 포함되는 현재 플라스마 처리 시스템을 이해하도록 상세히 설명된다. 종래 기술의 시스템(10) 및 본 발명의 시스템(10a)은 많은 공통 또는 유사한 구성 요소들을 가지며, 그에 따라 유사한 도면 부호들이 주어진다. 본 발명이 포함되는 시스템(10)은 스테인레스 스틸 또는 인코넬(inconel)과 같이 적절한 금속으로 형성된 처리 챔버(16)를 포함한다. 처리 챔버(16)는 플라스마가 발생되는 처리 공간(20)을 정의한다. 챔버(16)의 상단을 폐쇄시켜 처리 공간(20)을 둘러싸는 것은 챔버 리드(lid)이고, 이는 스테인레스 스틸로 형성되는 것이 바람직하다. 챔버 리드(22)는 플라스마 처리 원칙들에 따라 저압 또는 진공 환경을 제공하기 위해 챔버(16)로 적절하게 봉합된다. 챔버 리드(22)에 연결될 수 있는 지지 구조(24)는 도시된 바와 같이 샤워헤드(14)와 같은 기체 분산 소자 및 절연판(12)을 지지한다. 절연판(12)은 석영과 같은 전기적으로 절연된 물질로 제조될 것이다. 샤워헤드(14)는 기판 지지대 또는 서셉터(susceptor)(32)상에 있는 기판(30) 위에 처리 기체를 주입하기 위해 그 바닥 표면(29)에, 적절하게 형성된 다수의 개구(aperture)(28)들을 포함한다. 샤워헤드(14)는 임의의 적절한 형태를 취하고, 일반적으로 처리 기체 공급기(19) 및 기체 공급선(18)으로부터 처리 기체를 수신하고 구경(aperture)들(28)을 통해 기판(30)에 걸쳐 균일하게 기체를 분산시키도록 구성된다. 개구(aperture)들(28)의 패턴과 수는 기판상의 처리 기체의 균일하고 고른 흐름을 제공하도록 결정되는 것이 바람직하다. 적절한 샤워헤드 설계는 상기에 기술된 미국 특허 No. 5,567,243 및 일련 No. 08/940,779에서 설명된다. 샤워헤드는 알루미늄 또는 인코넬과 같이 적절한 금속으로 제조된다. 기체 공급선(18)은 챔버에 형성된 적절한 입구(21)를 통과할 것이다.
서셉터(32)는 베이스(base)(33)상에 있고, 일반적으로 샤워헤드(14)와 평행한 방향으로 평면 기판(30)을 지지한다. 따라서 CVD 또는 PECVD 처리(또는 에칭 처리)와 같은 특정 처리에서는 기판(30) 및 서셉터(32)가 가열(또는 냉각)될 필요가 있으므로, 베이스(33)를 통해 적절한 가열 또는 냉각 시스템 및 온도 제어 시스템(도시되지 않은)에 연결된다. 또한, 기판(30)상에 일정하게 침착되도록 서셉터(32)를 회전시키는 것이 바람직하다. 이를 위해, 서셉터(32)는 외부 회전 제어 시스템(37)에 연결될 수 있다. 종래 기술에 숙련된 자는 뒷판(back plane) 가열 시스템 및 기판 처크(chuck) 또는 클램핑(clamping) 시스템과 같은 다른 서셉터 제어 시스템들이 또한 종래 기술에서 이미 공지된 원리들에 따라 서셉터(32)와 함께 사용될 수 있음을 쉽게 알 수 있다. 처리 동안, 챔버(16)의 처리 공간(20)은 저압이고, 따라서 챔버(16)는 진공 개구(opening)(34)와 같이, 챔버(16)내의 적절한 개구를 통해 진공 시스템(39)에 연결된다. 진공 시스템(39)에 의해 유지되는 처리 공간(20)내의 압력은 공지된 처리 매개변수들에 따른다.
처리 공간(20)내의 플라스마를 생성하여 유지하기 위해, 샤워헤드(14)는 RF 전원(40)에 의해 바이어스된다. 이런 적절한 공급기는 대략 13.56 MHz에서 동작되고, 대략 100-1200 Watts의 전력을 샤워헤드(14)에 전달할 수 있다. 더욱이, 처리 시스템(10)의 평행판 구성내에서, 기판(30)을 지지하는 상단 서셉터 표면(35)과 샤워헤드(14)의 반대 하단 표면(29) 사이에는 짧은 거리가 유지된다. 이런 적절한 거리는 대략 25 mm 또는 약 1 인치이다. 기판(30) 및 처리 기체 공급선(18)에 대해서 샤워헤드(14) 및 절연판(12)의 적절한 정렬을 보장할 때, 챔버 리드(lid)(22)와 절연판 사이의 그리고 절연판(12)과 샤워헤드(14) 사이의 인터페이스들에 정렬 핀들(42)이 사용된다. 챔버 리드(22), 절연판(12), 및 샤워헤드(14)는 모두, 적절한 진공이 유지되고 처리 기체가 기판 부근(30)에서 균일하고 조밀한 플라스마를 제공하기 위해 챔버(16) 및 특히 처리 공간(20)내에서 유지되는 것을 보장하도록 이미 공지된 원리들에 따라 적절하게 봉합된다.
처리 시스템(10)의 전극들은 일반적으로 평면이고 일반적으로 평행한 샤워헤드(14) 및 서셉터(32)로 형성된다. 샤워헤드(14) 및 서셉터(32)는 각각 곡선 표면들(29, 35)을 유지한다. 그러나, 표면들(29, 35)은 평면인 것이 바람직하고, 서로에 대해서 평행한 방향으로 향하는 것이 바람직하다. 상기에 기술된 바와 같이, 샤워헤드(14)는 RF 전원(40)에 의해 바이어스된다. 서셉터(32)는 일반적으로 접지되고(비록 바이어스되더라도), 이를 위해 일반적으로 접지 기준(45)에 연결된 챔버(16)와 전기적으로 연결된다. 처리 기체 공급기, 특별 공급선(18)은 또한 접지 기준(45)에 연결된다. 도 1에 도시된 바와 같이, 통로(46)는 절연판(12)을 통해 형성되고, 기체 공급선(18)을 샤워헤드(14)에 연결시킨다. 샤워헤드(14)는 통상적으로 처리 기체가 다음에 개구(aperture)(28)를 통해 분사되도록 전해지는 공간(도시되지 않은)을 포함한다. 통로(46)는, 기체가 누설되지 않고 샤워헤드에 효과적으로 전달되는 것을 보장하기 위해 공급선(18) 및 샤워헤드(14)와의 인터페이스들에 적절하게 연결되어 봉합된다. 예를 들면, 공급선(18)이 판(12)과 인터페이스되는 곳에서 기체 누설을 방지하도록 판(12)과 챔버 리드(22) 사이에 O-링(O-ring) 봉합(도시되지 않음)이 사용될 수 있다.
도 2는 도 1에 도시된 시스템(10)과 유사한 처리 시스템내에서 사용될 수 있는 본 발명을 설명한다. 그 때문에, 유사한 소자는 동일한 도면 부호들을 사용한다. 도 2에 도시된 본 발명의 시스템(10a)은 접지된 챔버 또는 기체선들과 바이어스된 샤워헤드 사이에 시선 기체 통로를 방지하기 위해 측면으로 공간을 둔 통로 섹션들과 그 통로를 포함하는 절연체 어셈블리(49)를 사용한다. 한 실시예에서, 어셈블리는 각각의 절연판들(50a, 50b)과 같은 다수의 절연체 소자들을 포함한다. 두 판들(50a, 50b)은 플라스마 브레이크다운을 줄이고 방지하도록 협력한다. 판들과 같은 어셈블리(49)는 석영과 같은 전기적으로 절연체인 물질로 적절하게 형성된다. 다수의 소자들을 사용하는 어셈블리(49)가 도시되지만, 본 발명은 또한 본 발명에 따라 통로가 형성된 단일 소자를 사용할 수 있다. 공급선(18)으로부터 처리 기체는 통로 섹션(52)을 통해 제 1 절연판(50a)으로 공급되고, 이어서 통로 섹션(54)을 통해 제 2 절연판(50b)으로 공급된다. 본 발명의 원리들에 따라, 통로 섹션들(52, 54)은 바이어스된 샤워헤드 전극(14)과 접지된 처리 챔버(16) 또는 공급선(18) 사이에 직선 또는 직접적인 시선 경로를 제공하지 않도록 측면으로 서로 공간을 두고 위치한다. 통로 섹션들(52, 54)은 공간을 둔 통로 섹션들 사이의 소자들 또는 판들(50a, 50b) 중 적어도 하나에 형성된 교차 통로 섹션(56)에 의해 함께 연결된다. 공간을 둔 통로 섹션들(52, 54) 및 교차 통로 섹션(56)은 절연체 어셈블리(49)를 통해 집합적으로 통로를 형성한다. 도 2에 도시된 실시예에서, 교차 통로 섹션(56)은 상단 소자(50a)에 완전하게 형성된다; 그러나, 교차 통로 섹션(56)은 또한 소자(50b)에 형성되거나, 두 소자들(50a, 50b)에서 일부들로 형성될 수 있다. 그러므로, 바이어스된 샤워헤드 전극(14)과 처리 챔버(16) 또는 기체 공급선(18) 사이에서 처리 기체가 이동하는 경로는 상당히 길고, 플라스마가 접지 기준에 단락되도록 직접적인 시선 코스의 이동이 없다. 본 발명의 바람직한 실시예에서, 교차 통로 섹션(56)은 기체 흐름 제한을 최소화하거나 방지하기 위해 통로 섹션들(52, 54)과 같거나 더 큰 단면 치수를 갖는다. 도 2에 도시된 바와 같이, 통로 섹션들(52, 54) 및 교차 통로 섹션(56)에서 플라스마의 흐름은 통로 섹션들(52, 54)과 섹션(56) 사이의 인터페이스에 형성된 적어도 2개의 직각 또는 90°회전을 만나게 된다. 판들(50a, 50b)은, 판들 사이의 인터페이스(51)가 통로 섹션들(52, 54)과 섹션(56)의 일반적으로 빈틈 없는 기체 연결을 제공하도록 함께 적층되어 구성된다.
적층된 절연판들(50a, 50b)내의 통로 섹션들(52, 54)은 처리 시스템(10a)의 특정 형상 및 설계 사항들을 처리하기 위해 원하는 대로 판들에 위치할 수 있다. 본 발명의 한 실시예에서, 샤워헤드(14) 및 판들(50a, 50b)은 원형이고, 섹션(56)은 형성된 판의 원형 형상에 따른다. 더욱이, 샤워헤드에 기체를 주입하는데는 다수의 기체 공급선들(18)이 사용될 수 있다. 본 발명의 이러한 실시예에서는 각 교차 통로 섹션(56)과 적절하게 형성된 통로 섹션들(52, 54)의 쌍들이 판들(50a, 50b)에서 사용된다. 다양한 절연판들(50a, 50b), 챔버 리드(22), 및 샤워헤드(14) 사이의 정렬을 제공하기 위해, 정렬 핀(42)이 적절하게 사용될 수 있다. 바람직한 실시예에서, 정렬 핀들은 세라믹인 것이 바람직하다.
도 4a 및 도 4b는 본 발명의 한 실시예에 따른 상단 및 하단 절연판들(50a, 50b)의 실시예를 도시한다. 절연판들은 공간을 둔 통로 섹션들의 쌍들 사이에 형성된 연관 교차 통로 섹션과 측면으로 공간을 둔 통로 섹션들의 다수의 쌍들을 포함한다. 그 방식으로, 샤워헤드(14)에 기체를 전달하는데 다수의 기체 공급선들이 사용될 수 있고, 다수의 통로들이 절연체 어셈블리를 통해 형성된다. 특히, 절연판들(50a, 50b)은 일반적으로 단면이 원형이고, 52a, 54a; 52b, 54b; 52c, 54c; 및 52d, 54d으로 나타난 통로 섹션들의 쌍들을 포함한다. 각 교차 통로 섹션들(56a, 56b, 56c, 및 56d)은 통로 섹션의 쌍들을 함께 연결시킨다. 교차 통로 섹션은 판들(50a, 50b)의 단면 형상을 정합시키도록 반원형의 형상으로 형성된다. 도 4a 및 도 4b에 도시된 실시예에서, 외부 통로 섹션들(56a, 56d) 및 대응하는 공간을 둔 통로 섹션들의 쌍들(52a, 54a 및 52d, 54d)들은 내부 통로 섹션들(56b, 56c) 및 연관된 공간을 둔 통로 섹션들 보다 더 크다.
그러므로, 도 4a 및 도 4b의 어셈블리는 샤워헤드에 다수의 처리 기체들을 주입하는데 사용될 수 있다. 예를 들면, 한 처리 기체 공급기는 어셈블리의 내부 통로들에 연결되고, 다른 처리 기체를 사용하는 다른 공급기는 외부 통로들에 연결될 수 있다. 여기서 참고로 포함되는 출원 일련 No. 08/940,779에 설명된 바와 같이, 비혼합 샤워헤드가 본 발명의 절연체 어셈블리와 사용될 수 있다.
챔버 리드(22)와 절연판(50a)의 인터페이스 사이에서 세라믹 정렬 핀(42)을 수용하기 위해 적절한 홀(hole)(57)들이 상단 또는 상부 절연판(50a)의 상단면에 형성된다. 유사하게, 판들 사이의 인터페이스(51)에 정렬 핀들(42)을 수용하기 위해 상부 절연판(50a)의 하부면(59)과 하부 절연판(50b)의 상부면(60) 모두에 적절한 개구들(58)이 형성된다. 마지막으로, 샤워헤드(14)와 하부 절연판(50b) 사이에 연결된 정렬 핀을 위해 하부 절연판(50b)의 하부면(63)에 적절한 개구(62)가 형성된다.
샤워헤드를 바이어스하기 위해, RF 에너지는 절연체 어셈블리를 통해 그에 연결되어야 한다. 그 때문에, 절연체 어셈블리(49)는 거기에 형성된 RF 개구를 포함한다. 도 4a 및 도 4b를 참조하면, 전체 RF 개구를 생성하기 위해 판들에 개구(aperture)들(62a, 62b)이 형성된다. 샤워헤드를 절연체 어셈블리에 물리적으로 연결시키기 위해 금속판 또는 워셔(washer)(64)가 소자(50b)로 사용될 수 있다.
도 3은 수소 플라스마 및 도 1에 도시된 바와 같은 단일 절연판과, 도 2, 도 4a, 및 도 4b에 도시된 바와 같은 절연판들(50a, 50b)을 갖는 절연체 어셈블리(49)를 사용하는 시스템에 대해서, 처리 시스템들(10, 10a)내에서 생성되는 플라스마 방전의 로드 저항(load resistance)과, RF 전력에 대한 RF 바이어스된 샤워헤드의 DC 자기 바이어스의 그래프를 도시한다. 도 3의 그래프에서 다양한 점들로 도시된 바와 같이, 13.56 MHz의 RF 주파수 및 0.45 Torr의 처리 압력에서, 챔버(16)의 공간(20)내에서, 시스템(10)으로 전달되는 RF 전력은 일반적으로 350 Watts로 제한된다. 처리 공간은 약 175-200℃의 온도로 유지된다. 도 3의 그래프에서 점(70) 및 대략 350 Watts 보다 높은 전력 레벨들의 참고 화살표들(71, 72)로 도시된 바와 같이, 참고 화살표(71)에서는 로드 저항의 가파른 증가가 있고, 참고 화살표(72)에는 샤워헤드의 DC 자기 바이어스의 가파른 강하가 있다. 플라스마의 로드 저항에서의 가파른 증가와 샤워헤드 DC 바이어스에서의 가파른 강하는 절연판(12)을 통한 경로(46)에서 기생 방전의 형성을 나타내고, 여기서 샤워헤드(14)는 플라스마를 통해 접지까지 곡선을 그리기 시작한다. 샤워헤드내의 플라스마는 샤워헤드(14)의 홀들(28)이나 각 통로들에서 침착이 일어날 때 시스템(10)을 사용하는 CVD 처리내에서 확인된다. 일반적으로, 더 높은 압력에서, 특정 RF 전력을 플라스마에 전달하는데 필요한 샤워헤드에서의 RF 전압이 더 낮기 때문에, 시스템(10)의 RF 전력 제한은 처리 공간(20)내의 압력이 증가되면 증가된다.
참고 번호(75)는 도 3의 그래프에서 점들을 나타내며, 여기서 도 4a 및 도 4b에 도시된 바와 같이 적층된 2개의 절연판들(50a, 50b)을 갖는 본 발명에 따른 시스템(10a)이 사용된다. 본 발명의 시스템(10a) 설계에서는 실제로 1300 Watts까지 관찰되는 전력 제한이 없었다.
상술된 바와 같은 본 발명의 원리들에 따라, 다수의 기체 공급선들(18)은 샤워헤드(14)에 처리 기체를 주입하는데 사용될 수 있다. 더욱이, 본 발명의 원리들에 따라, 도 2에 도시된 2개의 적층 절연판들(50a, 50b)보다 많은 다수의 절연판들이 사용될 수 있다. 예를 들면, 원하는 처리내의 더 높은 전력 레벨들을 위해, 교차 통로 섹션(56)은 매우 짧은 길이를 요구할 수 있다. 이와 같이, 통로 섹션(56)은 2개보다 많은 다수의 판들의 인터페이스에 형성된 일련의 더 짧은 통로 섹션들로 대체될 수 있다. 그 방법으로, 본 발명은 또한 하나 또는 그 이상의 기체 공급선들(18)과 샤워헤드(14) 사이에 처리 기체의 통로를 제공하기 위해 판들에 형성된 측면으로 공간을 두고 비정렬되는 적절한 기체 통로들과 판들 사이의 각 인터페이스에 형성된 적절한 채널들을 갖는 임의의 수의 적층된 절연판들을 사용하는 실시예들을 구비한다.
본 발명은 플라스마 브레이크다운을 없애기 위해 RF 전극과 접지 기준 사이에 긴 경로 길이를 제공한다. 더욱이, 통로 섹션(56)에 의해 연결된 측면으로 공간을 둔 비정렬 통로 섹션들(52, 54)은 플라스마 브레이크다운시 일어날 수 있는 2차 전자 애벌란시(avalanche)를 저해하기 위해 샤워헤드 전극과 접지 기준 사이에 직접적인 시선을 방지한다. 본 발명의 시스템(10a)은 또한, 시스템이 높은 온도의 대기에서 발산되도록 다수의 얇은 판들이 하나의 두꺼운 판 보다 파손에 덜 민감하기 때문에 열적 충격에 덜 민감하다. 본 발명의 시스템(10a)의 또 다른 이점은 특정 기체 공급 소자들을 제거하여, 기체 전달 시스템을 덜 복잡하게 만드는 것이다. 예를 들면, 샤워헤드 전극(14)에 기체 공급선(18)의 직접적인 시선 연결이 없으므로, 일반적으로 도 1에 도시된 시스템(10)에서 필요한 것과 같이 기체 공급선(18)내의 분리된 RF-브레이크 구성 성분들을 사용할 필요가 없다.
본 발명은 그 실시예를 설명함으로써 도시되며 실시예들은 상세히 설명되지만, 이러한 상세한 설명에 첨부된 청구항들의 범위를 제한하는 것이 본 발명의 의도는 아니다. 추가 이점 및 수정은 종래 기술에 숙련된 자에게 용이하게 명백해진다. 그러므로, 보다 폭넓은 특성의 본 발명은 실시예에서 도시되고 설명된 특정한 상세한 설명을 대표하는 장치 및 방법에 제한되지 않는다. 그에 따라, 본 출원인의 일반적인 발명 개념의 의도 및 범위에서 벗어나지 않고 상세한 설명이 수정될 수 있다.

Claims (26)

  1. 플라스마(plasma)로 기판을 처리하는 처리 시스템에 있어서,
    상기 처리 시스템은,
    처리 공간을 정의하고, 처리 공간내에 기판을 지지하기 위한 지지 구조를 포함하는 처리 챔버(chamber)와;
    처리 기체를 챔버로 주입하는 챔버내의 기체 입구(inlet)와;
    챔버내에 위치하고, 처리 기체를 입구에서 처리 공간으로 분산시키도록 동작할 수 있는 샤워헤드(showerhead)와;
    상기 샤워헤드에 의해 분산된 처리 기체로 플라스마를 형성하기 위해 상기 샤워헤드를 바이어스(bias)하는 전기 에너지의 공급기와;
    상기 샤워헤드에 접촉하며, 상기 샤워헤드와 상기 처리 챔버 사이에 위치하고, 상기 처리 챔버로부터 샤워헤드를 전기적으로 절연시키도록 동작할 수 있는 절연체 어셈블리(assembly)를 구비하고,
    상기 절연체 어셈블리는 기체 입구로부터 상기 절연체 어셈블리를 통해 처리 기체를 통과시키기 위한 통로(passage)를 포함하고, 상기 통로는 서로 측면으로 공간을 둔 섹션(section)들을 포함하고,
    상기 통로는 상기 측면으로 공간을 둔 통로 섹션들을 함께 연결시키고 처리 기체를 상기 샤워헤드에 전달하는 절연체 어셈블리를 통한 통로를 형성하기 위해 상기 측면으로 공간을 둔 통로 섹션들 사이에 확장되는 교차 통로 섹션을 더 포함하고,
    그에 의해 처리 동안 상기 플라스마의 안정성을 유지하기 위해 상기 절연체 어셈블리를 통한 직접적인 시선(line-of-sight) 통로가 방지되는, 처리 시스템.
  2. 제 1 항에 있어서,
    상기 절연체 어셈블리는 제 1 및 제 2 전기 절연체 소자들을 포함하고, 각 소자는 기체를 절연체 소자를 통해 전달하도록 확장된 통로 섹션을 포함하고, 상기 절연체 소자들의 각 통로 섹션들은 서로 측면으로 공간을 두고, 상기 교차 통로 섹션은 상기 소자들 중 적어도 한 소자에 형성되고, 통로 섹션들을 함께 연결시키고 완전한 통로를 형성하기 위해 상기 공간을 둔 통로 섹션들 사이에 확장되는, 처리 시스템.
  3. 제 2 항에 있어서,
    상기 교차 통로 섹션은 한 소자에 완전히 형성되는, 처리 시스템.
  4. 제 1 항에 있어서,
    상기 절연체 어셈블리는 석영(quartz)으로 형성되는, 처리 시스템.
  5. 제 1 항에 있어서,
    상기 전기 에너지의 공급기는 RF 에너지로 샤워헤드를 바이어스하기 위한 RF 전원인, 처리 시스템.
  6. 제 2 항에 있어서,
    상기 절연체 소자들은 서로 적층된 평면 절연판들인, 처리 시스템.
  7. 제 6 항에 있어서,
    상기 통로를 형성하도록 각 통로 섹션들과 교차 통로 섹션 사이에 적절한 정렬를 제공하기 위해 상기 절연판들 사이에 위치하는 정렬 핀들을 더 구비하는, 처리 시스템.
  8. 제 1 항에 있어서,
    상기 통로는 처리 기체의 흐름을 위해 상기 샤워헤드와 상기 챔버 사이에 적어도 하나의 90도 각도를 형성하는, 처리 시스템.
  9. 제 1 항에 있어서,
    상기 절연체 어셈블리는 다수의 통로들을 더 구비하고, 상기 통로들 각각은 공간을 둔 통로 섹션들을 함께 연결시키는 교차 통로 섹션을 갖는 한 쌍의 측면으로 공간을 둔 통로 섹션들을 포함하는, 처리 시스템.
  10. 제 9 항에 있어서,
    상기 다수의 통로들은 서로 물리적으로 절연되는, 처리 시스템.
  11. 제 1 항에 있어서,
    상기 교차 통로 섹션은 반원형의 형상인, 처리 시스템.
  12. 제 6 항에 있어서,
    상기 절연판들 각각은 평면을 형성하고, 상기 측면으로 공간을 둔 통로 섹션들은 일반적으로 상기 판 평면들에 대해 수직 방향으로 향하게 되고, 상기 교차 통로 섹션은 일반적으로 형성된 판의 상기 평면에 평행한 방향으로 향하게 되는, 처리 시스템.
  13. 플라스마(plasma)로 기판을 처리하는 처리 시스템에 있어서,
    상기 처리 시스템은,
    처리 공간을 정의하고, 처리 공간내에 기판을 지지하기 위한 지지 구조를 포함하는 처리 챔버(chamber)와;
    처리 기체를 챔버로 주입하는 챔버내의 기체 입구(inlet)와;
    챔버내에 위치하고, 처리 기체를 입구에서 처리 공간으로 분산시키도록 동작할 수 있는 샤워헤드(showerhead)와;
    상기 샤워헤드에 의해 분산된 처리 기체로 플라스마를 형성하기 위해 상기 샤워헤드를 바이어스(bias)하는 전기 에너지의 공급기와;
    상기 샤워헤드에 접촉하며, 상기 샤워헤드와 상기 처리 챔버 사이에 위치하고, 상기 처리 챔버로부터 샤워헤드를 전기적으로 절연시키도록 동작할 수 있고, 상기 샤워헤드와 처리 챔버 사이에 서로 인접하게 위치하는 다수의 전기적 절연체 소자들을 구비하는, 절연체 어셈블리(assembly)를 구비하고,
    상기 다수의 절연체 소자들 각각은 기체 입구에서 처리 기체가 절연체 소자를 통과하도록 통로 섹션을 갖고, 인접한 절연체 소자들의 각 통로들은 서로 측면으로 공간을 두고,
    적어도 하나의 교차 통로 섹션이 처리 기체가 인접한 절연체 소자들을 통해 통과하도록 상기 측면으로 공간을 둔 통로들을 함께 연결시키기 위해 인접한 절연체 소자들의 측면으로 공간을 둔 통로 섹션들 사이에 확장되고,
    그에 의해 처리 동안 상기 플라스마의 안정성을 유지하기 위해 상기 절연체 어셈블리를 통한 직접적인 시선(line-of-sight) 통로가 방지되는, 처리 시스템.
  14. 플라스마로 기판을 처리하는 처리 시스템내에서 바이어스된 기체-분산 소자를 접지 기준으로부터 절연시키는 전기적으로 절연된 어셈블리에 있어서,
    처리 공간을 정의하고, 처리 공간내에 기판을 지지하기 위한 지지 구조를 포함하는 처리 챔버(chamber)와;
    기체-분산 소자를 전기적으로 절연시키기 위해 바이어스된 기체-분산 소자와 접지 기준 사이에 위치하도록 구성된 전기적 절연체 어셈블리를 구비하고,
    상기 절연체 어셈블리는 상기 기체-분산 소자에 접촉하며, 기체 입구로부터 처리 기체가 절연체 어셈블리를 통해 통과하도록 하는 통로를 포함하도록 구성되고, 상기 통로는 서로 측면으로 공간을 둔 섹션들을 포함하고,
    상기 통로는 측면으로 공간을 둔 통로 섹션들을 함께 연결시키고 처리 기체를 기체-분산 소자에 보내기 위해 상기 절연체 어셈블리를 통한 완전한 통로를 형성하도록 상기 측면으로 공간을 둔 통로들 사이에 확장되는 교차 통로 섹션을 더 포함하고,
    그에 의해 처리 동안 상기 플라스마의 안정성을 유지하기 위해 상기 절연체 어셈블리를 통한 직접적인 시선(line-of-sight) 통로가 방지되는, 어셈블리.
  15. 제 14 항에 있어서,
    상기 절연체 어셈블리는 제 1 및 제 2 전기 절연체 소자들을 포함하고, 각 소자는 기체를 절연체 소자를 통해 전달하도록 확장된 통로 섹션을 포함하고, 상기 절연체 소자들의 각 통로 섹션들은 서로 측면으로 공간을 두고, 상기 교차 통로 섹션은 상기 소자들 중 적어도 한 소자에 형성되고, 통로 섹션들을 함께 연결시키고 완전한 통로를 형성하기 위해 상기 공간을 둔 통로 섹션들 사이에 확장되는, 어셈블리.
  16. 제 14 항에 있어서,
    상기 절연체 어셈블리는 석영(quartz)으로 형성되는, 어셈블리.
  17. 제 14 항에 있어서,
    상기 절연체 소자들은 서로 적층된 평면 절연판들인, 어셈블리.
  18. 제 14 항에 있어서,
    상기 통로는 절연체 어셈블리를 통한 처리 기체의 흐름을 위해 적어도 하나의 90도 각도를 형성하는, 어셈블리.
  19. 제 14 항에 있어서,
    상기 절연체 어셈블리는 다수의 통로들을 더 구비하고, 상기 통로들 각각은 공간을 둔 통로 섹션들을 함께 연결시키는 교차 통로 섹션을 갖는 한 쌍의 측면으로 공간을 둔 통로 섹션들을 포함하는, 어셈블리.
  20. 플라스마로 기판을 처리하는 방법에 있어서,
    상기 방법은,
    처리 공간을 정의하는 처리 챔버내에 기판을 위치시키는 단계와;
    처리 기체를 챔버로 주입하는 단계와;
    챔버내에 위치하는 샤워헤드로 처리 기체를 분산시키는 단계와;
    샤워헤드에 의해 분산된 처리 기체로 플라스마를 형성하기 위해 샤워헤드를 전기적으로 바이어스하는 단계와;
    챔버와 샤워헤드 사이에 위치하는 절연체 어셈블리로 샤워헤드를 처리 챔버에서 전기적으로 절연시키는 단계; 및
    절연체 어셈블리를 통한 통로를 통하여 샤워헤드에 절연체 어셈블리를 통해 처리 기체를 전달하는 단계를 구비하고,
    상기 통로는 서로 측면으로 공간을 둔 섹션들을 포함하고, 또한 측면으로 공간을 둔 통로들을 함께 연결시키고 처리 기체를 샤워헤드에 보내기 위해 절연체 어셈블리를 통한 통로를 위해 측면으로 공간을 둔 통로들 사이에 확장되는 교차 통로 섹션을 더 포함하고,
    그에 의해 처리 동안 상기 플라스마의 안정성을 유지하기 위해 상기 절연체 어셈블리를 통한 직접적인 시선(line-of-sight) 통로가 방지되는, 방법.
  21. 제 20 항에 있어서,
    상기 절연체 어셈블리는 제 1 및 제 2 전기 절연체 소자들을 포함하고, 각 소자는 기체를 절연체 소자를 통해 전달하도록 확장된 통로 섹션을 포함하고, 상기 절연체 소자들의 각 통로 섹션들은 서로 측면으로 공간을 두고, 상기 교차 통로 섹션은 상기 소자들 중 적어도 한 소자에 형성되고, 통로 섹션들을 함께 연결시키고 완전한 통로를 형성하기 위해 상기 공간을 둔 통로 섹션들 사이에 확장되는, 방법.
  22. 제 20 항에 있어서,
    상기 절연체 어셈블리는 석영(quartz)으로 형성되는, 방법.
  23. 제 20 항에 있어서,
    상기 절연체 소자들은 서로 적층된 평면 절연판들인, 방법.
  24. 제 23 항에 있어서,
    어셈블리를 통해 상기 통로를 형성하기 위해 각 통로 섹션들과 교차 통로 섹션 사이에 적절한 정렬들을 제공하기 위해 절연판들 사이에 위치하는 정렬 핀들로 절연판들을 정렬하는 단계를 더 구비하는, 방법.
  25. 제 20 항에 있어서,
    각 절연체 소자들에 형성된 다수의 측면으로 공간을 둔 통로 섹션들을 더 구비하고, 교차 통로 섹션이 절연체 어셈블리에 형성되고 각 통로 섹션들을 함께 연결시키도록 공간을 둔 통로 섹션들 각각과 연관되는, 방법.
  26. 제 20 항에 있어서,
    상기 통로는 처리 기체의 흐름을 위해 상기 샤워헤드와 상기 챔버 사이에 적어도 하나의 90도 각도를 형성하는, 방법.
KR10-2000-7013439A 1999-03-31 2000-03-16 플라스마 방전 기체가 처리 챔버로 주입되는 고전력 rf전극을 절연시키는 방법 및 장치 KR100440658B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/282,534 1999-03-31
US09/282,534 US6173673B1 (en) 1999-03-31 1999-03-31 Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber

Publications (2)

Publication Number Publication Date
KR20010043913A KR20010043913A (ko) 2001-05-25
KR100440658B1 true KR100440658B1 (ko) 2004-07-19

Family

ID=23081961

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7013439A KR100440658B1 (ko) 1999-03-31 2000-03-16 플라스마 방전 기체가 처리 챔버로 주입되는 고전력 rf전극을 절연시키는 방법 및 장치

Country Status (7)

Country Link
US (1) US6173673B1 (ko)
JP (1) JP3884620B2 (ko)
KR (1) KR100440658B1 (ko)
DE (1) DE10081173T1 (ko)
GB (1) GB2356082B (ko)
TW (1) TW466627B (ko)
WO (1) WO2000059005A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220084000A (ko) * 2013-02-28 2022-06-21 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6395640B2 (en) * 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
KR101075046B1 (ko) * 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20140057388A1 (en) * 2010-07-27 2014-02-27 Amtech Systems, Inc. Systems and Methods for Depositing and Charging Solar Cell Layers
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
WO2020096885A1 (en) * 2018-11-05 2020-05-14 Applied Materials, Inc. Magnetic housing systems

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5391664A (en) 1977-01-24 1978-08-11 Hitachi Ltd Plasma cvd device
JPS6098629A (ja) 1983-11-02 1985-06-01 Hitachi Ltd プラズマ処理装置
JPS60116126A (ja) 1983-11-28 1985-06-22 Ricoh Co Ltd プラズマcvd装置
JPS6141763A (ja) 1984-04-24 1986-02-28 Anelva Corp 薄膜作成装置
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4728528A (en) 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
US4853251A (en) 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4798165A (en) 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4800105A (en) 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
DE3742110C2 (de) 1986-12-12 1996-02-22 Canon Kk Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US5018479A (en) 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
JPH0192375A (ja) 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4908330A (en) 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
JPH01198481A (ja) 1988-02-01 1989-08-10 Canon Inc マイクロ波プラズマcvd法による堆積膜形成法
JP2776826B2 (ja) 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02234419A (ja) 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
US4979465A (en) 1989-04-03 1990-12-25 Daidousanso Co., Ltd. Apparatus for producing semiconductors
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5052339A (en) 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JP2939355B2 (ja) 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
JPH05152208A (ja) 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220084000A (ko) * 2013-02-28 2022-06-21 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR102562923B1 (ko) * 2013-02-28 2023-08-03 노벨러스 시스템즈, 인코포레이티드 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Also Published As

Publication number Publication date
GB2356082B (en) 2003-12-31
GB2356082A (en) 2001-05-09
JP3884620B2 (ja) 2007-02-21
TW466627B (en) 2001-12-01
GB0030075D0 (en) 2001-01-24
JP2002540622A (ja) 2002-11-26
KR20010043913A (ko) 2001-05-25
US6173673B1 (en) 2001-01-16
DE10081173T1 (de) 2001-06-13
WO2000059005A1 (en) 2000-10-05

Similar Documents

Publication Publication Date Title
KR100440658B1 (ko) 플라스마 방전 기체가 처리 챔버로 주입되는 고전력 rf전극을 절연시키는 방법 및 장치
JP7425160B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
KR100728651B1 (ko) 플라즈마 강화 cvd 프로세스들에 대한 플라즈마 에지 효과의 감소
US7780790B2 (en) Vacuum processing apparatus
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
JP2020534667A (ja) 2つの埋込電極を有する基板支持体
US6244211B1 (en) Plasma processing apparatus
US8485128B2 (en) Movable ground ring for a plasma processing chamber
US20070163440A1 (en) Gas separation type showerhead
US20160168706A1 (en) Liner assembly and substrate processing apparatus having the same
KR20050042701A (ko) 헬리컬 공진기형 플라즈마 처리 장치
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
TW201724326A (zh) 板元件、晶片舟及電漿處理設備
JP2005260186A (ja) プラズマプロセス装置
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
TW202343534A (zh) 半導體處理腔室適配器
WO2018200409A1 (en) Applying power to electrodes of plasma reactor
US20180308667A1 (en) Plasma reactor with groups of electrodes
KR101909467B1 (ko) 안정적인 고속 표면처리가 가능한 선형 플라즈마 발생 장치
KR20080061807A (ko) 기판 표면처리장치
TW202247236A (zh) 下電極組件和等離子體處理裝置
KR20230088498A (ko) 하드마스크들을 형성하는 방법들
KR20210062299A (ko) 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
KR20070118482A (ko) 플라즈마 처리 장치 및 이를 이용한 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080623

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee