KR20010093751A - 반도체 제조방법, 기판 처리방법 및 반도체 제조장치 - Google Patents

반도체 제조방법, 기판 처리방법 및 반도체 제조장치 Download PDF

Info

Publication number
KR20010093751A
KR20010093751A KR1020010016329A KR20010016329A KR20010093751A KR 20010093751 A KR20010093751 A KR 20010093751A KR 1020010016329 A KR1020010016329 A KR 1020010016329A KR 20010016329 A KR20010016329 A KR 20010016329A KR 20010093751 A KR20010093751 A KR 20010093751A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
transfer
semiconductor manufacturing
inert gas
Prior art date
Application number
KR1020010016329A
Other languages
English (en)
Other versions
KR100456105B1 (ko
Inventor
사토시 타까노
Original Assignee
엔도 마코토
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔도 마코토, 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 엔도 마코토
Publication of KR20010093751A publication Critical patent/KR20010093751A/ko
Application granted granted Critical
Publication of KR100456105B1 publication Critical patent/KR100456105B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S118/00Coating apparatus
    • Y10S118/90Semiconductor vapor doping
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • Y10S414/138Wafers positioned vertically within cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 반도체 제조방법, 기판 처리방법 및 반도체 제조장치에 관한 것으로, 기판표면의 불순물에 의한 오염을 방지하기 위해 기판의 반송환경을 정리하는 것을 목적으로 하며, 반도체 제조장치는, 외부와의 사이에서 기판의 교환을 실시하는 예비실(1); 기판에 소정의 처리를 실시하는 처리실(2); 내장된 반송 로보트에 의해 상기 예비실과 처리실의 사이에서 기판의 반송을 실시하는 반송실(3)을 구비한다. 이 반도체 제조장치에 따라 기판의 처리를 실시하는 반도체 제조방법에 있어서, 반송실(3)을 통해 로드록실(1)로부터 처리실(2)로 기판을 반송할 때, 로드록실(1)과 반송실(3)과 처리실(2)에 불활성기체(N2)를 공급하면서 배기시키고, 소정의 압력으로 유지시킨 후에 기판의 반송을 실시한다.

Description

반도체 제조방법, 기판 처리방법 및 반도체 제조장치 {Semiconductor manufacturing method, substrate processing method and semiconductor manufacturing apparatus}
본 발명은 반도체 제조방법, 기판 처리방법 및 반도체 제조장치에 관한 것이고, 특히 반송실을 통해 예비실로부터 처리실로, 또는 처리실로부터 예비실로 기판을 반송시킬 때의 환경조건을 정리함으로써, 불순물에 의한 기판오염의 영향을 최대한 배격할 수 있도록 한 반도체 제조방법, 기판 처리방법 및 반도체 제조장치에 관한 것이다.
근래, 반도체 디바이스의 미세화, 고집적화에 따라, 종래의 규제대상이었던 부유입자(주로 미케니칼 콘타미네이션(mechanical contamination)) 이외에 기체상 입자에 따른 화학오염(chemical contamination)의 문제가 현재(顯在)화되고 있다.여기서, 미케니칼 콘타미네이션은 반송 로봇(robot) 등의 기계적 가동부로부터의 발진(發塵)을, 또한 케미칼 콘타미네이션(화학 미량성분)은 진공 펌프로부터의 오일의 역확산이나 챔버(chamber) 구조물(반송 로봇의 축 씰(seal) 재나 챔버 씰링재로서의 O링 등)로부터의 미량의 휘발 불순물(탈기체) 성분에 의한 오염을 말한다.
오염의 현재화로서, 예를 들어 일본국 특개평 5-304273호 공보(일본국 특허 제2508948호 공보)에 기재된 HSG (Hemi-spherical Grained Silicon) 형성기술에 있어서는, 기체상 입자에 의한 오염으로 Si 분자의 미결합수밀도(未結合手密度)가 불균일해지고, 제품의 품질에 악영향을 주는 문제가 발생되고 있다. 또한, 에피택셜(epitaxial) 성장기술에 있어서는, 케미칼 오염물질(불순물 분자)의 존재에 의해 Si의 결정성에 부정합이 발생하고(오염물질이 존재하는 부분은 Si 기판의 결정성과는 관계없이 Si가 성장함), 결정결함이나 다결정화가 일어나며, 마찬가지로 제품의 품질에 악영향을 주는 문제가 발생하고 있다. 또한, 게이트 산화막 형성 등의 박막화가 진행되는 공정에서는, 오염물질의 한분자가 전체 막두께에 차지하는 비율이 커지기 때문에, 막두께의 균일성이나 디바이스의 전기적 특성에 부여되는 영향을 무시할 수 없도록 되어 있다.
상기 배경으로부터, 근래의 반도체 디바이스 제조에는, 미케니칼 콘타미네이션 뿐만 아니라 케미칼 콘타미네이션도 배제하는, 보다 청정한 환경이 요구되며, 클리닝 룸(cleaning room)이나 제조장치로의 대응이 요구되고 있다.
그런데, 종래에는 외부와의 사이에서 기판의 교환을 실시하는 로드록(load lock)실과, 기판에 박막형성 등의 소정의 처리를 실시하는 처리실과, 상기 로드록실과 처리실과의 사이에 기판 반송을 실시하는 반송실을 구비한 반도체 제조장치에 있어서, 반송실내의 반송 로봇에 의해 로드록실로부터 처리실로 웨이퍼(기판)를 반송하는 경우, 실(室)(이하, 「챔버(chamber)」라 함) 내의 압력은 진공 펌프의 배기에 의한 도달진공하에서 결정되고 있으며, 그 압력은 0.1Pa 이하로 유지되고 있었다.
그러나, 도달진공하의 진공상태를 유지시킨 챔버는, 진공펌프로부터의 오일의 역확산이나 챔버 구조물(반송 로봇의 축 씰재나 챔버 씰링재로서의 O 링 등)로부터의 미량의 휘발 불순물 성분에 의해 오염될 가능성이 있으며, 반송중의 웨이퍼에 악영향을 미칠 우려가 있었다.
그래서, 이와 같은 챔버의 오염을 방지하는 방법으로서,
(1) 터보 분자 펌프 등의 초 고진공(10-6Pa) 대응의 펌프를 모든 실에 장착하여, 모든 실의 불순물 분압을 저감시키고,
(2) 반송실내의 반송 로봇을 초 고진공 대응화시키며,
(3) 챔버 씰링재에 메탈 O 링을 사용하는 등의 방법이 고려되었지만, 이와 같은 방법은, 고비용이기 때문에, 유지보수가 용이하지 않은 등의 데미지가 있었다.
그런데, 일본국 특개평 6-104178호 공보(이하, 공지예라 함)에 있어서, 복수의 진공처리실, 기판을 반송하는 반송 로봇을 내장한 반송실, 기판을 도입 또는 반출시키기 위한 도입실 및 반출실을 이용하여 기판을 진공처리하는 방법에 있어서,반송실을 진공배기시킨 후, 질소기체와 같은 불활성기체를 장치 가동중에 반송실에 계속적으로 도입하는 방법이나, 진공처리에서 사용되는 기체를 장치 가동중에 진공처리실에 계속적으로 도입하는 방법이 제안되어 있다. 이것은, 기판반송시에, 도입실과 반출실을 없앤 진공처리실, 반송실에 불활성기체를 공급하면서 배기함으로써, 주된 반송 로봇으로부터의 발진, 즉 미케니칼 콘타미네이션을 배제시켜 오염을 방지하는 것이다. 또한, 도입실과 반출실을 없앤 반송실이나 진공처리실에서는, 불활성기체를 공급하면서 배기시키기 때문에, 반송실이나 진공처리실에 한해서는 전술한 케미칼 콘타미네이션을 배제할 수 있다고 생각되었다.
그러나, 공지예의 발명은, 도입실과 반출실(이하, 간단히 도입실 등이라 함)에 대해서는, 진공펌프를 가짐에도 불구하고 불활성기체의 공급은 실시하지 않고 배기만 실시하고 있기 때문에, 진공펌프로부터의 오일의 역확산이나, 챔버 구조물(챔버 씰링재로서의 O 링 등)로부터의 미량의 휘발 불순물 성분에 의한 오염을 방지할 수 없다. 따라서, 도입실 등 더 나아가서는 반도체 제조장치 전체를 깨끗한 상태로 유지할 수 없고, 기판표면의 오염을 효과적으로 방지할 수 없다.
또한 공지예에서는, 도입실 등에서는 복수의 기판을 지지하는 카세트가 아니라, 낱장단위의 기판을 직접 도입하고 있는데, 복수의 기판을 지지하는 카세트를 도입하는 경우에는 카세트 반입후 기판이 처리실이나 반송실에 반송되고 있을 때에도, 도입실 등에서는 항상 기판이 존재하도록 되기 때문에, 도입실 등에서 배기만을 실시하여도 진공펌프로부터의 오일의 역확산 등의 케미칼 콘타미네이션에 의해 도입실 등에 존재하고 있는 기판이 오염되어 버리는 문제가 발생한다.
본 발명은 상기 사정을 고려하고, 반송실, 처리실 뿐만 아니라 예비실을 깨끗한 상태로 유지할 수 있고 이에 따라 기판표면의 불순물에 따른 오염을 방지하기 위한 기판 반송환경을 정리할 수 있는, 저비용으로 유지보수가 용이한 반도체 제조방법, 기판 처리방법 및 반도체 제조장치를 제공하는 것을 목적으로 한다.
제1발명의 반도체 제조방법은, 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정; 처리실에서 기판에 소정의 처리를 실시하는 공정; 상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및 상기 기판을 반송할 때, 상기 실(室) 중 적어도 기판이 존재하는 곳에 불활성기체를 공급하면서 배기시키는 공정;을 포함하는 것을 특징으로 한다. 소정의 처리라는 것은, 기상성장 등 반도체 소자를 제조할 때에 기체를 취급하는 처리라면 무엇이라도 좋다. 기판을 반송할 때, 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시켜 적어도 기판이 존재하는 실내에 소정의 기체 흐름을 형성할 수 있고, 상기 실내를 소정의 압력상태로 유지시키고 그 압력하에서 기판의 반송을 실시하는 것으로, 적어도 기판이 존재하는 실에 있어서, 케미칼 콘타미네이션을 효과적으로 방지할 수 있으며, 반송중의 기판표면의 오염을 최대한 없앨 수 있다.
제2발명의 반도체 제조방법은, 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정; 처리실에서 기판에 소정의 처리를 실시하는 공정; 상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및 상기 기판을 반송할 때, 상기 모든 실에 불활성기체를 공급하면서 배기시키는 공정;을 포함하는 것을 특징으로 한다. 모든 실에 불활성기체를 공급하면서 배기시키면 모든 실내에 소정의 기체 흐름을 형성할 수 있고, 케미칼 콘타미네이션을 보다 효과적으로 방지할 수 있으며, 또한 반송효율을 좋게 할 수 있어 바람직하다.
제3발명의 반도체 제조방법은, 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정; 처리실에서 기판에 소정의 처리를 실시하는 공정; 상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및 상기 기판을 반송할 때, 상기 실(室) 중 적어도 진공 펌프를 구비하는 모든 실에 불활성기체를 공급하면서 배기시키는 공정;을 포함하는 것을 특징으로 한다. 진공펌프를 구비하는 모든 실에 불활성기체를 공급하면서 배기시키고 기체 흐름을 형성하기 때문에, 진공펌프로부터의 오일의 역확산을 효과적으로 방지할 수 있다.
상술한 제1발명에 있어서, 상기 예비실과 외부와의 사이에서 실시되는 기판의 교환은 복수장의 기판을 지지하는 카세트에서 실시되는 것이 바람직하다. 예비실에서, 1장의 기판이 아니라 복수장의 기판을 지지하는 카세트를 반입하는 경우, 카세트 반입후, 예비실에는 기판 반송시에도 기판이 존재하게 되기 때문에 케미칼 콘타미네이션을 방지하기 위해서는 예비실에는 항상 불활성기체를 공급하면서 배기시킬 필요가 있다. 이 점에서, 본 발명에서는, 기판이 존재하는 실의 하나인 예비실에 불활성기체를 공급하면서 배기시키고 있기 때문에, 예비실에 항상 존재하는 기판표면의 오염을 최대한 없앨 수 있다.
또한, 제1발명에 있어서, 상기 처리실에서 기판에 실시되는 소정의 처리는, HSG 형성 또는 에피택셜 성장인 것이 바람직하다. HSG 형성공정의 경우, 유지하는실내의 압력은 종래보다도 높은 편이 좋고, 예를 들어 50Pa 이상으로 설정하는 것이 바람직하다. 또한, 에피택셜 성장공정인 경우, 유지하는 실내의 압력은 예를 들어 400∼1333Pa 정도로 설정하는 것이 바람직하다. HSG 형성공정에서는 기체상 입자에 의한 오염으로 시리콘 분자의 미결합수밀도가 불균일해지고, 또한 에피택셜 공정에서는 케미칼 오염물질의 존재에 의해 Si 결정성에 부정합이 발생하고 결정결함이나 다결정화가 일어나며 제품의 품질에 영향을 주는 문제가 발생하지만, 본 발명에서는 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키고 있기 때문에, 그와 같은 영향을 저감할 수 있다. 또한, 게이트 산화막 형성공정 등과 같이 박막화가 진행되는 공정에서는 오염물질 한분자가 전체 막두께에서 차지하는 비율이 커지기 때문에 막두께 균일성이나 디바이스 특성에 주는 영향을 무시할 수 없게 되지만, 이 경우에 있어서도 본 발명에 의해 그와 같은 영향을 저감할 수 있다.
제4발명의 기판 처리방법은, 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정; 처리실에서 기판에 소정의 처리를 실시하는 공정; 상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및 상기 기판을 반송할 때, 상기 실(室) 중 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키는 공정;을 포함하는 것을 특징으로 한다. 기판은 반도체 기판외에 유리기판이어도 좋다. 소정의 처리라는 것은, 기상성장처리 기판을 처리할 때 기체를 취급하는 처리라면 무엇이라도 좋다. 기판을 반송할 때, 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키기 때문에, 적어도 기판이 존재하는 실에 있어서, 케미칼 콘타미네이션을 효과적으로 방지할 수 있으며, 반송중의 기판표면의 오염을 최대한 없앨 수 있다.
제5발명의 반도체 제조장치는, 외부와의 사이에서 기판의 교환을 실시하는 예비실; 기판에 소정의 처리를 실시하는 처리실; 내장된 반송 로보트에 의해 상기 예비실과 처리실의 사이에서 기판의 반송을 실시하는 반송실; 상기 각각의 실에 설치되고 각 실내에 불활성기체를 공급하는 불활성기체 공급수단; 상기 각각의 실에 설치되고 각각의 실의 기체를 배기시키는 기체 배기수단; 및 상기 기판을 반송할 때, 상기 불활성기체 공급수단 및 기체 배기수단을 제어하여 상기 실 중 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키는 제어수단;을 구비하는 것을 특징으로 한다. 소정의 처리라는 것은, 기상성장 등 반도체 소자를 제조할 때에 기체를 취급하는 처리라면 무엇이라도 좋다. 기판을 반송할 때, 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키는 제어수단을 설치하는 것만의 간단한 구성으로, 적어도 기판이 존재하는 실에 있어서, 케미칼 콘타미네이션을 효과적으로 방지할 수 있으며, 반송중의 기판표면의 오염을 최대한 없앨 수 있다.
상기 제5발명에 있어서, 상기 예비실은 복수장의 기판을 지지하는 카세트를 반입하는 카세트실인 것이 바람직하다. 예비실이 1장의 기판이 아니라 복수장의 기판을 지지하는 카세트를 반입하는 카세트실이라면, 카세트 반입후, 예비실에는 기판반송시에도 항상 기판이 존재하게 되기 때문에, 케미칼 콘타미네이션의 방지를 고려할 때 예비실에는 항상 불활성기체를 공급하면서 배기시킬 필요가 있다. 이 점에서, 본 발명에서는, 제어수단에 의해 기판이 존재하는 실의 하나인 예비실에 불활성기체를 공급하면서 배기시키기 때문에, 예비실에 항상 존재하는 기판표면의 오염을 최대한 없앨 수 있다.
도 1은 본 발명의 실시형태에 따른 반도체 제조방법 및 기판 처리방법을 실시하기 위한 반도체 제조장치의 개략설명도이다.
도 2는 오염분석 샘플 작성 흐름도이다.
도 3은 종래조건과 본 발명의 조건을 비교한 오염분석 결과를 나타낸 도이다.
도 4는 캐패시터 셀(capacitor cell)을 구비한 DRAM 칩의 단면구조도이다.
도 5는 도 4의 주요부 확대도이다.
도 6은 본 발명의 실시형태에 따른 HSG 형성공정 실시용 반도체 제조장치의 개략도이다.
도 7은 도 6의 반도체 제조장치내의 반응실의 종단면도이다.
도 8은 본 발명을 실시하지 않은 경우의 HSG 형성공정 후의 웨이퍼의 SEM 사진을 이해하기 쉽도록 전사한 도이다.
도 9는 본 발명을 실시한 경우의 HSG 형성공정 후의 웨이퍼의 SEM 사진을 이해하기 쉽도록 전사한 도이다.
* 부호의 설명 *
1: 로드록실(예비실), 2: 웨이퍼 처리실
3: 반송실 4: 진공펌프(기체 배기수단)
5: N2공급라인(불활성기체 공급수단)
6: N2공급라인(불활성기체 공급수단)
10: 로드록실(예비실) 12: 제어수단
13: 카세트 20: 반송실
30: 반응실(처리실) W: 웨이퍼(기판)
이하, 본 발명의 실시형태를 도면에 기초하여 설명한다.
도 1은 실시형태의 반도체 제조방법을 실시하기 위한 반도체 제조장치의 개략구성도이다. 이 반도체 제조장치는, 외부와의 사이에서 웨이퍼(기판)의 교환을 실시하는 예비실로서의 로드록실(1)과, 웨이퍼에 소정의 처리를 실시하는 웨이퍼 처리실(2)과, 로드록실(1)과 웨이퍼 처리실(2)과의 사이에서 웨이퍼의 반송을 실시하는 반송실(3)을 구비하고 있다. 반송실(3)에는, 웨이퍼를 반송하기 위한 반송 로봇(8)이 구비되어 있다. 소정의 처리에는, HSG 형성, 에피택셜 성장, 기상성장(CVD법에 의한 박막의 형성), 산화막의 형성, 확산처리, 에칭처리 등이 포함된다. 또한, 예비실은 로드록실 외에 N2퍼지 박스(N2purge box) 등이어도 좋다.
로드록실(1), 웨이퍼 처리실(2), 및 반송실(3)은 각각 개별적으로 진공펌프(기체 배기수단)(4)에 의해 진공배기할 수 있도록 되어 있으며, 로드록실(1)과 반송실(3) 사이, 및 반송실(3)과 웨이퍼 처리실(2) 사이는 게이트 밸브(gate valve)(7, 9)에 의해 각각 개폐가능하게 막혀 있다.
또한, 로드록(1)과 반송실(3)에는, N2기체(불활성기체)를 공급할 수 있도록 N2공급라인(5)이 접속되어 있다. 또한, 웨이퍼 처리실(2)에도 동일하게 N2기체를 공급할 수 있도록 N2공급라인(6)이 접속되어 있다. 더욱이, 로드록실(1)과 웨이퍼처리실(2) 사이에서 웨이퍼를 반송시킬 때, 각 N2공급라인 및 진공펌프(4)를 제어하여 로드록실(1)과 반송실(3)과 웨이퍼 처리실(2) 중 소정의 챔버에 N2기체를 공급하면서 배기시키고, 상기 소정의 챔버내를 소정의 압력으로 유지시키기 위한 제어수단(12)이 설치되어 있다. 또한, 소정의 챔버(실(室))라는 것은, 웨이퍼 반송시에 있어서는 적어도 웨이퍼가 존재하는 챔버(실)라는 것이며, 예를 들어 로드록실(1)로부터 반송실(3)로의 웨이퍼 반송시에는 로드록실(1)과 반송실(3)이며, 반송실(3)로부터 처리실(2)로의 웨이퍼 반송시에는 반송실(3)과 처리실(2)이다. 물론, 소정의 챔버가 모든 챔버이어도 좋고, 오히려 이 편이 케미칼 콘타미네이션을 보다 효과적으로 방지할 수 있으며 또한 반송효율도 좋아 바람직하다. 또한, 소정의 챔버는 진공펌프를 구비하는 모든 챔버라도 좋다. 또한, 웨이퍼를 반송시킬 때의 이외에 챔버내에 웨이퍼가 없는 상태에서 챔버내에 N2기체를 공급하면서 배기시키면 챔버내가 청정한 상태로 유지되기 때문에, 더욱 바람직하다. 또한, 웨이퍼 반송시 또는 웨이퍼 반송시 이외에 있어서, 진공펌프에 의해 챔버내를 진공배기할 때에는 항상 기체를 공급하면서 배기시키고, 진공펌프에 대해 펌프 상류쪽에서 하류쪽으로의 기체 흐름을 형성하도록 하면 더욱 바람직하다.
이 반도체 제조장치에 있어서, 소정의 웨이퍼 처리공정을 실시하는 경우에는, 로드록실(1)로부터 처리실(2)에 웨이퍼를 반송시킬 때에 맞추어, 미리 예를 들어 로드록실(1)과 반송실(3)과 웨이퍼 처리실(2)의 모든 챔버내에 N2기체를 공급하면서 진공펌프(4)로 배기시키고, 로드록실(1)과 반송실(3)과 웨이퍼 처리실(2)을소정의 압력으로 유지시킨다. 그리고, 로드록실(1)과 반송실(3)과 웨이퍼 처리실(2)을 소정의 압력으로 유지시킨 후에 웨이퍼의 반송을 실시한다.
구체적으로는, 닫혀 있는 게이트 밸브(14, 7, 9) 중, 우선 외부와의 교환구인 게이트 밸브(14)를 열고, 로드록실(1)에 복수장의 웨이퍼가 수납된 카세트(13)를 반입하고, 게이트 밸브(14)를 닫는다. 그 후, 로드록실(1)을 도달진공압력까지 진공시킨 후, 로드록실(1)내에 불활성기체(예를 들어 N2)를 도입시키면서 배기시키고, 로드록실(1) 내를 반송압력으로 한다. 이후, 적어도 로드록실(1) 내에서 카세트(13) 즉 웨이퍼가 존재하는 사이에는 로드록실(1)내에는 불활성기체를 도입하면서 계속해서 배기시키게 되며, 로드록실(1)내는 일정한 압력으로 유지된다. 또한, 웨이퍼 처리실(2), 반송실(3)내는 미리 불활성기체를 도입하면서 배기시킨 상태로 해 두고, 반송실(3)내의 압력은 반송압력으로 유지해 둔다. 웨이퍼 처리실(2)에 대해서는 웨이퍼 처리실 이외에는 이 상태를 유지하도록 하고, 반송실(3)에 대해서는 장치가동중 이 상태를 유지하도록 한다. 로드록실(1)내의 압력이 반송실(3) 내의 압력 즉 반송압력과 같아졌을 때 게이트 밸브(9)를 열고, 웨이퍼를 처리실(2)내로 반송하며, 게이트 밸브(9)를 닫고 웨이퍼에 처리를 실시한다. 웨이퍼 처리후에는 상기와 반대의 순서로 웨이퍼를 반송하게 된다.
이렇게 하는 것으로, 진공펌프(4)로부터 로드록실(1), 처리실(2), 반송실(3)로의 오일의 역확산을 방지할 수 있음과 동시에, 챔버 구조물(반송 로봇의 축 씰재, 챔버 씰링재로서의 O 링등)로부터의 불순물의 휘발을 억제할 수 있고, 그 결과불순물에 의한 오염의 영향을 최대한 배제할 수 있어 웨이퍼의 처리품질이 향상된다.
본 실시형태의 효과를 검증하기 위해, 상기 반도체 제조장치를 이용하여, Si 기판상에 다결정 Si 막을 퇴적시키고, 그 계면의 오염분석을 실시하였다. 그 때의 오염분석 샘플 작성 흐름을 도 2에, 오염분석 결과를 도 3에 각각 나타내었다. 또한, 오염분석은 진공펌프의 오일이나 구조물로부터의 탈기체 성분으로서 가능성이 높은 유기물질을 상정하여 C(탄소)를 대상으로 하였다. 도 2를 설명하면,
S1: 미리 자연산화막 제거등, 표면의 청정처리를 실시한 Si 기판을 로드록실에 투입한다.
S2: 로드록실의 분위기를 치환한다.
S3: 반송실을 경유하여 Si 기판을 처리실로 옮긴다.
S4: 처리실에 있어서, Si기판에 다결정 Si막을 50nm 퇴적시킨다.
다결정 성막조건은 하기와 같다.
처리온도: 650℃
SiH4유량: 0.3slm
압력: 133 Pa
S5: 처리실에서의 성막후, Si 기판을 로드록실로 퇴각시킨다.
상기 S2∼S5의 단계에서는 Si 기판 반송압력을 하기의 2조건으로 설정하여 샘플을 작성한다.
(a) 0.1Pa 이하 …종래조건 (진공펌프의 배기에 따른 도달진공하)
(b) 133Pa …본 실시형태 조건 (N2기체를 도입하면서 배기)
S6: 로드록실을 대기압으로 되돌린다.
S7: 로드록실로부터, Si 기판을 꺼내고 Si 기판표면의 오염분석을 실시한다. 오염분석방법은 Si 기판과, 상기 단계에 의해 Si 기판상에 퇴적시킨 다결정 Si막 (50nm)와의 계면(분석면)의 탄소농도를 SIMS (이차이온 질량분석법)로 분석하였다.
도 3의 결과로부터, (a)종래조건과 (b)본 실시형태 조건에 있어서의 탄소농도는 각각
(a) 1.90×1014atoms/cm2
(b) 3.70×1013atoms/cm2
이고, 본 실시형태 조건을 이용하는 편이 종래조건을 이용하는 경우보다도 약 1자리의 감소 경향을 나타내었다. 이에 관하여 1999년 발표된 ITRS (International Technology Roadmap of Semicondoctors)에 따르면, 처리기판상의 유기물질의 규격을 2005년 100nm 디바이스에서 4.10×1013이하라고 예측하고 있고, 유기물질량을 1.90×1014에서 3.70×1013으로 감소시킨 본 실시형태의 우위성은 명확하다.
또한, 문헌에 따르면 유기물질량을
1.0×1013atoms/cm2이하로 규정하지 않으면 안된다고 언급되어 있다.
이에 대해, 본 실시형태 조건(N2기체를 도입하면서 배기)에서 처리한 경우에 얻어진 가장 양호한 탄소농도의 데이터로서는,
5.0×1012atoms/cm2
이라는 효과도 얻어지고 있다. 이에 의해 본 실시형태는 이 유기물질의 규격을 만족하는 것도 가능하다고 말할 수 있다. 또한, 본 실시형태의 조건을 이용하여 처리한 상기 2개의 데이터는 다소 다른 값이지만, 측정값에 관해서는 측정환경이나 동일 웨이퍼의 측정에 있어서도 측정방법에 의해 분산이 발생하는 것이 원인이 된다고 생각된다.
이와 같이 본 실시형태가 소정의 규격을 만족할 수 있는 것은, 진공펌프를 갖는 모든 챔버(처리실, 반송실, 로드록실)에 불활성기체를 도입하면서 배기시키고 있고, 반도체 제조장치 전체에서 미케니칼 콘타미네이션은 물론이고 진공펌프로부터의 오일의 역확산, 챔버구조물로부터의 미량의 휘발불순물(탈기체) 성분에 따른 케미칼 콘타미네이션을 효과적으로 배기할 수 있기 때문이다. 이 점에서, 주로 기계적 가동부로부터의 발진(미케니칼 콘타미네이션)의 방지를 목적으로 한 공지예의 방법에서는, 불활성기체를 도입하면서 배기시키는 대상 챔버로서 도입실이나 반출실을 제외하고 있기 때문에, 케미칼 콘타미네이션의 효과적인 배제는 실현할 수 없다. 이에 대해, 본 실시형태는 로드록실에 있어서도 불활성기체를 도입하면서 배기시키기 때문에, 웨이퍼 반송중 또는 처리중에, 로드록실에 존재하고 있는 카세트에서 지지된 복수의 웨이퍼 전체에 대해서도 상기 규격을 만족할 수 있다.
또한, 본 실시형태에서는 전체의 챔버에 불활성기체를 공급하면서 배기시키고 진공펌프의 오일의 역확산을 방지하고 있기 때문에, 각 챔버에 오일의 역확산이 적은 터보분자 펌프를 설치하기도 하고, 메탈 O 링등을 사용하는 등의 반송실내의 부재를 고안하기도 하고, 또는 10-8Pa 정도의 초 고진공하로 하여 반송 공간내의 불순물의 분압을 낮추는 등의 수단을 갖출 필요가 없다. 따라서, 반송후, 처리실의 압력을 성막압력까지 상승시킬 필요도 없고, 이에 기인하여 처리량이 저하되는 일도 없다. 그 결과, 본 실시형태에서는 저비용으로, 유지보수도 용이하게 실시할 수 있다.
다음에 구체적인 예로서, 표면에 용량전극이 되는 무정질 실리콘막이 형성된 기판에 대해 HSG막을 형성시킨 반도체 제조장치 및 반도체 제조방법에 대해서 설명한다. HSG라는 것은, 막표면에 형성된 기복이 심한 반구상 결정립의 말한다. 이 HSG가 형성된 막은 표면적이 커지기 때문에 큰 용량을 확보할 수 있다. HSG의 형성기술로서는, 예를 들어 전술한 일본국 특개평 5-304273호 공보(특허 제2508948호 공보)에 기재된 것이 공지되어 있다.
여기서, 상술한 HSG막을 포함하는 반도체 장치(디바이스)의 제조방법을 설명한다. 도 4, 도 5를 참조하여, 본 발명이 바람직하게 적용되는 캐패시터 셀을 구비한 DRAM 500의 제조방법을 설명한다. 도 4를 참조하면, 실리콘 기판(51)의 표면에 필드산화막(52)을 형성시켜 다수의 트랜지스터 형성영역을 분리형성시킨다. 각 트랜지스터 형성 영역에 게이트 산화막(55)을 형성시키고 그 위에 게이트 전극(56)을형성시킨다. 게이트 전극(56) 및 필드산화막(52)을 마스크로 하여 이온주입법에 의해 불순물을 실리콘 기판(51)의 표면에 도입하여 자기정합(自己整合)적 소스(53) 및 드레인(54)을 형성시킨다. 그 후, 층간 절연막(57)을 형성하고, 계속하여 층간 절연막(57)에 소스(53)를 노출시키는 콘택트 홈(58)을 형성시킨다.
계속하여, 층간 절연막(57)상에 무정질 실리콘막을 퇴적시키고 패터닝(patterning)을 실시하며, 무정질 실리콘막의 자연 산화막을 제거하고, 다결정화를 실시하여 용량 하부 전극(59)을 형성시킨다. 이 다결정화 처리시에, 도 5에 나타난 바와 같이, 무정질 실리콘막의 표면에 기복이 심한 반구상 결정립(HSG)(600)을 형성시키고 용량 하부 전극(59)의 표면적을 확대시킨다. 계속하여 Ta2O5로 이루어진 용량 절연막(61)을 형성하고, 그 위에 다결정 실리콘막 등에 의해 용량 상부 전극(62)을 형성시킨다. 이렇게 하여 MOS 트랜지스터의 소스(53)에 캐패시터 셀이 접속된 DRAM을 실현할 수 있다.
도 6은 HSG막을 형성하는 반도체 제조장치의 평면도, 도 7은 반도체 제조장치내의 반응실의 종단면도이다.
반도체 제조장치를 나타낸 도 6에 있어서, (20)은 반송실이고 이 반송실(20)의 주위에 방사상으로 복수의 진공챔버를 구성하는 제1로드록실(10), 제1냉각실(80), 제1반응실(30), 제2반응실(35), 제2냉각실(85), 제2로드록실(15)이 설치되고, 반송실(20)과, 제1로드록실(10), 제1반응실(30), 제2반응실(35), 제2로드록실(15) 사이에 각각 게이트 밸브(40, 50, 60, 70)가 설치되어 있다. 또한, 반송실(20)에는 웨이퍼 반송 로봇(25)이 내장되어 있다. 또한, 이 반도체 제조장치의 경우에는, 제1반응실(30), 제2반응실(35)이 본 발명에서 말하는 「처리실」에 상당한다.
반응실을 나타내는 도 7에 있어서, 게이트밸브(50)를 통해 반송실(20)과 연결되어 있는 반응실(30)은 성막에 필요한 기체계(gas system)에 모노실란 기체(SiH4) 공급용의 노즐(130)을 갖고, 기체를 단일방향으로 흘리고 웨이퍼 W에 대해 노즐(130)과의 반대방향의 배기배관(135)을 경유하며 터보분자 펌프(140)에서 흡인하여 초 고진공 대응이 되고 있다. SiH4공급용의 노즐(130)과 통하는 배관에 유량 제어벨브(315)를 설치하고 이 유량 제어벨브(315)는 반응실(30)내에 공급되는 SiH4기체의 유량이 소정 유량이 되도록 유량 제어수단(310)에 의해 제어된다.
웨이퍼 면에 대해 모노실란을 단일방향에서 흘리는 것으로 선택성이 양호한 웨이퍼면내의 균일성이 확보될 수 있다. 이것은 성장속도가 디실란보다 느리고 HSG 형성을 제어하기 쉬운 모노실란을 사용하고 있기 때문이다.
반응실 압력을 0.5Pa 이하로 낮추면 기체 유속이 빨라지고, 600∼620℃에 있어서 충분한 표면반응 속도결정(rate determining)에 의해 웨이퍼면내 균일성이 우수하다. 또한 반응실(30)의 구조는 웨이퍼 W 표면에 대해 대면식(對面式)의 분할형 저항가열 히터(210)에서 웨이퍼의 상하를 가열함으로써, 웨이퍼면내의 온도 균일성을 단시간에 확보하는 것이 용이해진다. 분할형 저항가열 히터(210)는 반응실(30)내의 온도를 소정의 온도, 예를 들어 600∼620℃내의 임의의 온도가 되도록 온도제어 수단(320)에 의해 제어된다.
계속하여 상기 반도체 제조장치를 이용하여 웨이퍼를 처리하는 방법에 대해 설명한다. 우선, 반도체 소자가 되는 반도체 칩의 소정의 용량 전극부에 무정질 실리콘이 형성된 웨이퍼를 상술한 반도체 제조장치에 반송시키기 전에, 자연 산화막이나 NH4OH+H2O2+H2O와 같은 혼합액에 의해 형성되는 화학산화막을, 예를 들어 희석 플루오르산 수용액으로 미리 세정하고 제거한 후, 스핀 드라이 건조기 등으로 건조처리한다. 소정의 용량(容量) 전극부라는 것은, 일반적으로 MOS 트랜지스터의 소스/드레인 영역과 접속되는 하부 전극부이다. 건조처리를 실시한 후, 도 6에 나타난 반도체 제조장치내의 로드록실(10)에서 게이트 밸브(45)를 열어서 청정한 채로 카세트 단위로 재빠르게 반송하고 게이트 밸브(45)를 닫는다. 청정한채로 반송하는 것은 클리닝룸 내의 분위기에 의한 오염이나 자연 산화막의 재형성을 방지하기 위함이며, 로드록실(10)에 반송하기 까지의 사이를 재빠르게 실시할 필요가 있다. 이 시점에서 무정질 실리콘 표면에 오염이나 자연 산화막 등이 많이 부착·형성되어 있으면, 무정질 실리콘 표면의 상태와, 예를 들어 무정질 실리콘 상에 퇴적된 자연 산화막 표면의 상태에서는 실리콘의 결합수밀도가 달라지기 때문에, HSG화되지 않기도 하고 HSG의 형성상태 결국 HSG의 입경이나 밀도가 달라지는 문제가 발생하며 반도체 장치의 생산성 저하의 원인이 된다.
상기와 같이 복수장의 웨이퍼가 수납된 카세트를 로드록실(10)에 반송한 후, 로드록실(10)을 도달 진공압력까지 진공시킨 후, 로드록실(10)내에 고순도 질소(N2)를 공급하면서 배기시키고(이하, 이것을 퍼지(purge)한다고 함), 로드록실(10)내를 반송압력으로 한다. 이후, 적어도 로드록실(10)내에 카세트, 즉 웨이퍼가 존재하는 사이에는 로드록실(10)내에서는 N2기체를 도입하면서 계속하여 배기시키게 되며, 로드록실(10)내는 일정한 압력으로 유지된다.
여기서, 고순도 질소(N2)를 공급하면서 배기시키는 것은, 퍼지에 의해 기류를 두고 진공펌프로부터의 오일의 역확산이나 챔버 구조물로부터의 미량의 휘발 불순물 성분에 의한 오염을 방지하기 위함이다. 또한, 건조된 질소(N2)의 퍼지에 의해 수분이 충분히 분위기중에 포화되는 것을 만족시키기 위함이다. 또한 급격한 감압에 의해 웨이퍼 표면이나 카세트 등에 부착되어 있는 수분(액체)이 모두 수증기(기체)가 되지 않고, 오히려 일부가 기체가 될 때에 뺏기는 열에 의해 온도가 되하되며 얼음(고체)이 되는 것을 방지하기 위함도 있다. 얼음은 반응실(30)내에 반송후, 열에 의해 용융되고 물이 되기 때문에 표면의 일부가 산화되어 HSG 형성을 저해하는 요인이 된다. 또한, 로드록실(10) 내의 압력을 수회 승강시키는 것으로써, 잔류물질을 최대한 치환시키는 것도 효과적이다.
또한 반응실(30, 35), 반송실(20) 안은 미리 N2기체를 도입하면서 배기시킨 상태로 해 두고, 반송실(20)내의 압력은 반송압력으로 유지해 둔다. 반응실(30, 35)에 대해서는, 웨이퍼 처리시 이외는 이 상태를 유지하는 것과 같이 하고, 반송실(20)에 대해서는 장치가동중, 이 상태를 유지하도록 한다.
상기와 같이 로드록실(10) 내에 N2기체를 도입하면서 배기시키고, 로드록실(10)내의 압력이 반송실(20)내의 압력 즉 반송압력과 동일하게 되자마자, 게이트 밸브(40)를 열고, 웨이퍼 반송 로봇(25)에 의해 웨이퍼 W를 반송실(20)에 반송하고, 게이트 밸브(40)를 닫는다. 그 후, 반송실(20)내 압력과 처리실(30)내 압력이 같아지자마자, 게이트 밸브(50)를 열고 웨이퍼 W를 처리실(30)에 반송하며 게이트 밸브(50)를 닫고 웨이퍼 W에 처리를 실시한다. 웨이퍼 처리후에는, 상기와 반대의 순서로 웨이퍼 W를 반송하게 된다. 로드록실(10), 반송실(20), 반응실(30)에서는 상시질소(N2)가 공급되면서 배기되고 있으며 로드록실(10), 반송실(20), 반응실(30)내에서 존재·발생하는 불순물 물질이 웨이퍼 표면에 부착하지 않도록 하고 있다. 즉, 각 챔버는 진공펌프로부터의 오일의 역확산이나 챔버 구조물(반송 로봇의 축 씰재나 챔버 씰링재로서의 O링 등)로부터의 미량의 휘발 불순물 성분에 의해 오염되는 것을 방지하고 있다.
이 경우의 장치에서는, 반응실 이외는 초 고진공(10-6Pa) 대응의 펌프를 장착해 두지 않는다. 이것은 상기와 같이 로드록실, 반송실에 대해서는, 반송시에 챔버내에 N2를 공급하면서 배기시킴으로써, 웨이퍼 표면을 청정한 채 반응실까지 반송할 수 있도록 되어 있기 때문에, 반응실(30) 이외는 초 고진공 대응의 펌프를 필요로 하지 않게 되기 때문이다. 이에 의해 장치는 저가격이 될 뿐만 아니라, 처리시간도 단축할 수 있다.
계속하여 반응실내에 있어서 실시되는 과정에 대해서 설명한다.
상기와 같이 반응실(30)로 반송된 웨이퍼 W는 미리 설정된 반응실 온도 600∼620℃에서 온도 안정화가 얻어진다. 이 온도 안정화는 고진공의 분위기 내 또는 질소기체와 같은 불활성기체와 같이 무정질 실리콘 표면과 무반응의 무반응성 기체로 이루어지는 분위기 내에 있어서 실시된다. 단, 웨이퍼의 면내 온도 안정과 바탕의 무정질 실리콘이 다결정화되어 HSG 형성을 저해하는 요인이 되지 않도록, 온도안정과 결정화의 양쪽을 고려하면, 온도안정시간은 5분정도가 바람직하다. 이후, 상기 반응실 온도를 유지한다.
계속하여 상기 분위기가 무반응성 기체 분위기인 경우, 이들을 충분히 제거한 후 모노실란을 매분 150∼200cc, 2분∼2.5분간 흘려서 무정질 실리콘 표면에 미세한 결정핵을 형성(발생)시킨다. 이 결정핵의 밀도는 웨이퍼 온도나 핵 형성시간의 증대와 함께 증가하는 경향이 있고, 또한 모노실란 유량을 적게 한 경우에는 핵형성 시간을 늘릴 필요가 있다.
마지막으로 모노실란의 공급을 멈추고, 무정질 실리콘 표면에 형성된 결정핵을 실리콘 원자의 이동에 의해 성장시켜 결정립을 형성시킨다. 이 결정립의 크기는 입자 성장시의 증대와 함께 커지는 경향이 있고, 5분동안 성장이 거의 최대가 되기 때문에, 3∼5분 동안을 제어한다. 시간이 너무 길면 입자와 입자가 결합하여 큰 입자가 되고, 본 공정의 목적으로 하는 표면적의 증가율이 저하되기 때문에 시간을 제어할 필요가 있다. 또한 「거의」라는 것은 성장조건에서 성장이 최대가 되는 시간과 다르기 때문이다.
구체예로서, 상기 소정의 조건, 예를 들어 반응실 온도 610℃, 온도 안정시간 5분, 모노실란(SiH4) 200sccm, 핵형성 시간 2분, 입자 성장시간 3분으로 하여 실시함으로써, 선택성이 있는 안정한 HSG의 형성, 웨이퍼면내 균일성의 양호한 HSG를 형성할 수 있었다. 또한, 동일한 결과를 반응실 온도 610℃, 온도 안정시간 5분, 모노실란 150sccm, 핵형성 시간 2.5분, 입자 성장시간 5분으로 하여 실시하여도 얻을 수 있다. 또한, 상기 조건에서 처리를 실시함으로써, 단위시간당의 웨이퍼 처리매수를 20매/hr로 할 수 있고, 종형 장치 프로세스의 단위시간당의 웨이퍼 처리 매수(16매/hr)보다도 처리매수를 증가시킬 수 있고, 처리량을 향상시킬 수 있다.
HSG 형성공정에 있어서, 도 8은 도달진공하에서 웨이퍼를 반송한 경우(종래예)와, 도 9는 질소 퍼지하면서 웨이퍼를 반송한 경우(본 발명의 구체예)의 각각의 결과를 비교하여 나타내는 SEM(주사형 전자 현미경) 사진을 전사한 도이다.
도 8에 나타난 바와 같이, 도달진공 반송시간에서는, 웨이퍼 표면의 오염에 의해 HSG 형성이 불충분(표면의 요철이 적다)한 것에 비해, 도 9에 나타난 바와 같이 로드록실(10), 반송실(20), 반응실(30)에 질소기체(N2)를 공급하면서 배기(유량=0.5slm, 그 때의 반송압력=50Pa의 조건에서 공급)하면서 반송한 경우는 양호한 HSG를 형성할 수 있었다. 또한 50Pa 이상의 압력으로 반송하면 동일한 결과를 얻었다.
이상에 있어서는, HSG 형성공정을 실시할 때에 본 발명을 적용한 예를 설명하였지만, 본 발명은 에피택셜 성장공정을 실시하는 반도체 제조장치 및 제조방법에도 적용할 수 있다. 그 경우, 반송실로의 N2기체의 공급을 유량 10slm, 반송압력400∼1333Pa의 조건으로 한 경우에, 종래의 도달진공하에서 반송하였을 때에 발생한 결정결함이 일어나지 않았다. 또한 마찬가지로 본 발명은 P 도프등의 도핑 처리나, Ta2O5막, Si3N4막, 다결정 Si막 등의 성막처리에도 적용가능하다.
이상 설명한 바와 같이, 본 발명에 따르면 기판을 반송시킬 때, 예비실이나 반송실, 처리실에 불활성기체를 공급하면서 배기시킴으로써, 환경조건을 정비하여 케미칼 콘타미네이션을 억제할 수 있고, 그 결과 실내에 존재하는 기판표면의 오염을 최대한 없앨 수 있어 반도체 소자나 기판의 품질, 생산성 향상을 도모할 수 있다. 또한, 실에 불활성기체를 공급하면서 배기시키는 구성을 부가하는 것만으로도 바람직하기 때문에, 저비용으로, 유지보수의 번거로움도 증가시키지 않고 용이하게 실현할 수 있다.

Claims (8)

  1. 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정;
    처리실에서 기판에 소정의 처리를 실시하는 공정;
    상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및
    상기 기판을 반송할 때, 상기 실(室) 중 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키는 공정;
    을 포함하는 것을 특징으로 하는 반도체 제조방법.
  2. 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정;
    처리실에서 기판에 소정의 처리를 실시하는 공정;
    상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및
    상기 기판을 반송할 때, 상기 모든 실에 불활성기체를 공급하면서 배기시키는 공정;
    을 포함하는 것을 특징으로 하는 반도체 제조방법.
  3. 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정;
    처리실에서 기판에 소정의 처리를 실시하는 공정;
    상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및
    상기 기판을 반송할 때, 상기 실 중 적어도 진공 펌프를 구비하는 모든 실에 불활성기체를 공급하면서 배기시키는 공정;
    을 포함하는 것을 특징으로 하는 반도체 제조방법.
  4. 제1항에 있어서, 상기 예비실과 외부와의 사이에서 실시되는 기판의 교환은 복수장의 기판을 지지하는 카세트에서 실시되는 것을 특징으로 하는 반도체 제조방법.
  5. 제1항에 있어서, 상기 처리실에서 기판에 실시되는 소정의 처리는, HSG 형성 또는 에피택셜 성장인 것을 특징으로 하는 반도체 제조방법.
  6. 예비실과 외부와의 사이에 기판의 교환을 실시하는 공정;
    처리실에서 기판에 소정의 처리를 실시하는 공정;
    상기 예비실과 처리실과의 사이에 구비된 반송실을 통해 기판의 반송을 실시하는 공정; 및
    상기 기판을 반송할 때, 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키는 공정;
    을 포함하는 것을 특징으로 하는 기판 처리방법.
  7. 외부와의 사이에서 기판의 교환을 실시하는 예비실;
    기판에 소정의 처리를 실시하는 처리실;
    내장된 반송 로보트에 의해 상기 예비실과 처리실의 사이에서 기판의 반송을 실시하는 반송실;
    상기 각각의 실에 설치되고 각 실내에 불활성기체를 공급하는 불활성기체 공급수단;
    상기 각각의 실에 설치되고 각각의 실의 기체를 배기시키는 기체 배기수단; 및
    상기 기판을 반송할 때, 상기 불활성기체 공급수단 및 기체 배기수단을 제어하여 상기 실 중 적어도 기판이 존재하는 실에 불활성기체를 공급하면서 배기시키는 제어수단;
    을 구비하는 것을 특징으로 하는 반도체 제조장치.
  8. 제7항에 있어서, 상기 예비실은 복수장의 기판을 지지하는 카세트를 반입하는 카세트실인 것을 특징으로 하는 반도체 제조장치.
KR10-2001-0016329A 2000-03-29 2001-03-28 반도체 제조방법, 기판 처리방법 및 반도체 제조장치 KR100456105B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000-91642 2000-03-29
JP2000091642 2000-03-29
JP2001-60136 2001-03-05
JP2001060136A JP3676983B2 (ja) 2000-03-29 2001-03-05 半導体製造方法、基板処理方法、及び半導体製造装置

Publications (2)

Publication Number Publication Date
KR20010093751A true KR20010093751A (ko) 2001-10-29
KR100456105B1 KR100456105B1 (ko) 2004-11-08

Family

ID=26588736

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0016329A KR100456105B1 (ko) 2000-03-29 2001-03-28 반도체 제조방법, 기판 처리방법 및 반도체 제조장치

Country Status (3)

Country Link
US (1) US6828235B2 (ko)
JP (1) JP3676983B2 (ko)
KR (1) KR100456105B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100908285B1 (ko) * 2007-01-12 2009-07-17 가부시키가이샤 히다치 하이테크놀로지즈 진공처리장치 및 그 진공처리장치를 사용한 진공처리방법
KR101043792B1 (ko) * 2002-07-30 2011-06-27 쌩-고벵 글래스 프랑스 이산화티탄의 증착 방법 및 기판
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR20180059772A (ko) * 2015-09-30 2018-06-05 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1297397A2 (en) * 2000-06-14 2003-04-02 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
JP2003017478A (ja) * 2001-07-05 2003-01-17 Tokyo Electron Ltd 真空処理装置および真空処理方法
JP2003031639A (ja) * 2001-07-17 2003-01-31 Canon Inc 基板処理装置、基板の搬送方法及び露光装置
JP2003045947A (ja) * 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
US6750155B2 (en) * 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
US6843883B2 (en) * 2001-08-31 2005-01-18 Tdk Corporation Vacuum processing apparatus and method for producing an object to be processed
JP4531557B2 (ja) * 2002-05-21 2010-08-25 エーエスエム アメリカ インコーポレイテッド 半導体処理ツール内チャンバ間の相互汚染の減少
EP1394611A1 (en) * 2002-08-30 2004-03-03 ASML Netherlands BV Lithographic apparatus, device manufacturing method, and device manufactured thereby
KR20040043908A (ko) * 2002-11-20 2004-05-27 주성엔지니어링(주) 증착 박막의 두께 균일성 개선방법
US20050027656A1 (en) * 2003-02-11 2005-02-03 Tyson Foods, Inc. A system and method for monitoring facility data
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP4468021B2 (ja) * 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
TW200527513A (en) * 2003-11-20 2005-08-16 Hitachi Int Electric Inc Method for manufacturing semiconductor device and substrate processing apparatus
JP4564742B2 (ja) * 2003-12-03 2010-10-20 キヤノン株式会社 露光装置及びデバイス製造方法
US20050178328A1 (en) * 2004-02-17 2005-08-18 Matsushita Electric Industrial Co., Ltd. Film forming method and film forming apparatus
JP5224567B2 (ja) * 2005-11-21 2013-07-03 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
JP4688764B2 (ja) * 2006-09-19 2011-05-25 東京エレクトロン株式会社 基板処理装置の載置台除電方法
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
JP5436763B2 (ja) * 2007-07-27 2014-03-05 東京エレクトロン株式会社 気密モジュール、及び該気密モジュールの排気方法
US8443484B2 (en) 2007-08-14 2013-05-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP4784599B2 (ja) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
JP5161694B2 (ja) * 2008-08-05 2013-03-13 株式会社日立ハイテクノロジーズ 真空処理装置
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100304027A1 (en) * 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP2011009290A (ja) * 2009-06-23 2011-01-13 Shin Etsu Handotai Co Ltd ウェーハの処理方法、ウェーハの処理システム、エピタキシャルウェーハの製造方法およびエピタキシャルウェーハの製造システム
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5750328B2 (ja) * 2011-07-20 2015-07-22 株式会社ニューフレアテクノロジー 気相成長方法及び気相成長装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5862943B2 (ja) * 2011-11-16 2016-02-16 新東工業株式会社 真空装置及び真空装置の真空容器内の圧力制御方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5521106B1 (ja) * 2012-12-28 2014-06-11 ダイキン工業株式会社 除湿システム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5679238B2 (ja) * 2013-05-27 2015-03-04 株式会社日本製鋼所 半導体処理方法
JP6403431B2 (ja) * 2013-06-28 2018-10-10 株式会社Kokusai Electric 基板処理装置、流量監視方法及び半導体装置の製造方法並びに流量監視プログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10170348B2 (en) * 2013-12-26 2019-01-01 Konica Minolta, Inc. Production system for printing electronic devices
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6098997B2 (ja) * 2014-04-24 2017-03-22 信越半導体株式会社 エピタキシャル成長装置の汚染評価方法及びエピタキシャルウェーハの製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6459462B2 (ja) * 2014-12-11 2019-01-30 東京エレクトロン株式会社 リーク判定方法、基板処理装置及び記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10731248B2 (en) * 2016-01-15 2020-08-04 Tokyo Electron Limited Vacuum processing apparatus and operation method thereof
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP2018093045A (ja) 2016-12-02 2018-06-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6485536B1 (ja) 2017-12-28 2019-03-20 株式会社Sumco エピタキシャルウェーハの製造装置及び製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111524841B (zh) * 2020-07-06 2020-10-23 上海陛通半导体能源科技股份有限公司 可实时检测机械微颗粒的半导体工艺设备及方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7366952B2 (ja) * 2021-03-23 2023-10-23 芝浦メカトロニクス株式会社 プラズマ処理装置の検査方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116159809A (zh) * 2022-12-28 2023-05-26 深圳市纳设智能装备有限公司 晶圆传输方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2508948A (en) 1946-01-22 1950-05-23 Alfretta Hovermale Washing machine
JP3020567B2 (ja) * 1990-08-20 2000-03-15 アネルバ株式会社 真空処理方法
JP2508948B2 (ja) 1991-06-21 1996-06-19 日本電気株式会社 半導体装置の製造方法
JP3071320B2 (ja) * 1992-01-28 2000-07-31 東京エレクトロン株式会社 真空装置
JPH06104178A (ja) 1992-09-18 1994-04-15 Hitachi Ltd 真空処理方法及び真空処理装置
JP3371230B2 (ja) * 1992-11-09 2003-01-27 東京エレクトロン株式会社 搬送処理装置
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
KR100263404B1 (ko) * 1994-06-07 2000-11-01 히가시 데쓰로 처리장치,처리방법및처리장치의크리닝방법
US20020114886A1 (en) * 1995-07-06 2002-08-22 Applied Materials, Inc. Method of tisin deposition using a chemical vapor deposition process
JPH0982594A (ja) * 1995-09-18 1997-03-28 Kokusai Electric Co Ltd 半導体製造装置における室内減圧方法
JP3070660B2 (ja) * 1996-06-03 2000-07-31 日本電気株式会社 気体不純物の捕獲方法及び半導体製造装置
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
JP3967424B2 (ja) * 1997-04-30 2007-08-29 東京エレクトロン株式会社 真空処理装置及び圧力調整方法
JP2000150815A (ja) 1998-09-04 2000-05-30 Kokusai Electric Co Ltd 半導体装置の製造方法及び半導体製造装置
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101043792B1 (ko) * 2002-07-30 2011-06-27 쌩-고벵 글래스 프랑스 이산화티탄의 증착 방법 및 기판
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR100908285B1 (ko) * 2007-01-12 2009-07-17 가부시키가이샤 히다치 하이테크놀로지즈 진공처리장치 및 그 진공처리장치를 사용한 진공처리방법
KR20180059772A (ko) * 2015-09-30 2018-06-05 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
JP3676983B2 (ja) 2005-07-27
JP2001345279A (ja) 2001-12-14
US20020020344A1 (en) 2002-02-21
KR100456105B1 (ko) 2004-11-08
US6828235B2 (en) 2004-12-07

Similar Documents

Publication Publication Date Title
KR100456105B1 (ko) 반도체 제조방법, 기판 처리방법 및 반도체 제조장치
KR920006261B1 (ko) 반도체장치의 제조방법 및 그 장치
US5217501A (en) Vertical wafer heat treatment apparatus having dual load lock chambers
TWI443747B (zh) 半導體裝置製造方法以及基板處理方法及設備
US20190378724A1 (en) Etching method and etching apparatus
US11127597B2 (en) Etching method
WO1996025760A1 (fr) Procede et machine de fabrication de semiconducteurs
US20060156970A1 (en) Methods for in-situ cleaning of semiconductor substrates and methods of semiconductor device fabrication employing the same
CN101971298A (zh) 表面处理设备和表面处理方法
KR102244356B1 (ko) 기판 처리 방법
US20010012667A1 (en) Clustered system and method for formation of integrated circuit devices
WO2018220973A1 (ja) エッチング方法
JP5355514B2 (ja) 半導体製造方法、基板処理方法および基板処理装置
JP2012204691A (ja) 半導体装置の製造方法及び基板処理装置
JP4669257B2 (ja) 半導体製造方法、基板処理方法、及び基板処理装置
KR101550590B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US20090114146A1 (en) Method for Manufacturing Semiconductor Device and Substrate Processing Apparatus
JPH11354514A (ja) クラスターツール装置及び成膜方法
JP3173698B2 (ja) 熱処理方法及びその装置
JPH07153695A (ja) 成膜方法
US6780250B2 (en) System and method for integrated oxide removal and processing of a semiconductor wafer
JP4240941B2 (ja) 半導体装置の製造方法および基板処理装置
US6932915B2 (en) System and method for integrated oxide removal and processing of a semiconductor wafer
JP2983244B2 (ja) 表面処理方法
JP2504598B2 (ja) 半導体基板の枚葉式表面処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20181023

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20191017

Year of fee payment: 16