KR19980086841A - 구리 합금 금속 및 시드층의 스퍼터 디포지션 및 어닐링 - Google Patents
구리 합금 금속 및 시드층의 스퍼터 디포지션 및 어닐링 Download PDFInfo
- Publication number
- KR19980086841A KR19980086841A KR1019980016379A KR19980016379A KR19980086841A KR 19980086841 A KR19980086841 A KR 19980086841A KR 1019980016379 A KR1019980016379 A KR 1019980016379A KR 19980016379 A KR19980016379 A KR 19980016379A KR 19980086841 A KR19980086841 A KR 19980086841A
- Authority
- KR
- South Korea
- Prior art keywords
- copper
- layer
- copper alloy
- deposited
- dielectric layer
- Prior art date
Links
- 229910000881 Cu alloy Inorganic materials 0.000 title claims abstract description 64
- 238000004544 sputter deposition Methods 0.000 title claims abstract description 48
- 238000000137 annealing Methods 0.000 title claims abstract description 45
- 229910052751 metal Inorganic materials 0.000 title claims description 24
- 239000002184 metal Substances 0.000 title claims description 24
- 150000002739 metals Chemical class 0.000 title description 3
- 239000010949 copper Substances 0.000 claims abstract description 129
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 124
- 229910052802 copper Inorganic materials 0.000 claims abstract description 124
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 65
- 238000005275 alloying Methods 0.000 claims abstract description 53
- 238000000034 method Methods 0.000 claims abstract description 52
- 238000000151 deposition Methods 0.000 claims abstract description 41
- 239000011777 magnesium Substances 0.000 claims abstract description 25
- 229910045601 alloy Inorganic materials 0.000 claims abstract description 24
- 239000000956 alloy Substances 0.000 claims abstract description 24
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 21
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 20
- 239000001301 oxygen Substances 0.000 claims abstract description 20
- 229910052749 magnesium Inorganic materials 0.000 claims abstract description 18
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 claims abstract description 16
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 13
- 238000001465 metallisation Methods 0.000 claims description 38
- 229910052782 aluminium Inorganic materials 0.000 claims description 26
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 25
- 239000000758 substrate Substances 0.000 claims description 17
- 239000010703 silicon Substances 0.000 claims description 14
- 229910052710 silicon Inorganic materials 0.000 claims description 14
- 238000005229 chemical vapour deposition Methods 0.000 claims description 6
- 239000010936 titanium Substances 0.000 claims description 6
- 229910052715 tantalum Inorganic materials 0.000 claims description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 4
- 229910052796 boron Inorganic materials 0.000 claims description 4
- 229910052719 titanium Inorganic materials 0.000 claims description 4
- 229910052714 tellurium Inorganic materials 0.000 claims description 3
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 claims description 3
- 238000007747 plating Methods 0.000 claims description 2
- 230000008021 deposition Effects 0.000 abstract description 40
- 230000004888 barrier function Effects 0.000 abstract description 30
- 238000005240 physical vapour deposition Methods 0.000 abstract description 27
- 238000011049 filling Methods 0.000 abstract description 19
- 230000008569 process Effects 0.000 abstract description 18
- 238000009792 diffusion process Methods 0.000 abstract description 12
- 238000009713 electroplating Methods 0.000 abstract description 4
- OWXLRKWPEIAGAT-UHFFFAOYSA-N [Mg].[Cu] Chemical compound [Mg].[Cu] OWXLRKWPEIAGAT-UHFFFAOYSA-N 0.000 abstract description 2
- 238000005516 engineering process Methods 0.000 abstract description 2
- JRBRVDCKNXZZGH-UHFFFAOYSA-N alumane;copper Chemical compound [AlH3].[Cu] JRBRVDCKNXZZGH-UHFFFAOYSA-N 0.000 abstract 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 120
- 239000000377 silicon dioxide Substances 0.000 description 26
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 19
- 235000012239 silicon dioxide Nutrition 0.000 description 18
- 150000004706 metal oxides Chemical class 0.000 description 15
- 229910044991 metal oxide Inorganic materials 0.000 description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 13
- CPLXHLVBOLITMK-UHFFFAOYSA-N magnesium oxide Inorganic materials [Mg]=O CPLXHLVBOLITMK-UHFFFAOYSA-N 0.000 description 12
- 229910052786 argon Inorganic materials 0.000 description 10
- 230000008901 benefit Effects 0.000 description 10
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical class [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 9
- 238000002161 passivation Methods 0.000 description 9
- 238000002474 experimental method Methods 0.000 description 8
- 239000000463 material Substances 0.000 description 8
- 230000000694 effects Effects 0.000 description 7
- 239000000395 magnesium oxide Substances 0.000 description 7
- 229910000431 copper oxide Inorganic materials 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- 238000011065 in-situ storage Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- 239000005751 Copper oxide Substances 0.000 description 5
- 238000000637 aluminium metallisation Methods 0.000 description 5
- 238000005260 corrosion Methods 0.000 description 5
- 230000007797 corrosion Effects 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 239000012535 impurity Substances 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 238000012552 review Methods 0.000 description 4
- 229910018565 CuAl Inorganic materials 0.000 description 3
- 230000009286 beneficial effect Effects 0.000 description 3
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000002844 melting Methods 0.000 description 3
- 230000008018 melting Effects 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 239000005368 silicate glass Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 239000002344 surface layer Substances 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 2
- 229910017818 Cu—Mg Inorganic materials 0.000 description 2
- 229910000861 Mg alloy Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical group [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 2
- -1 argon ions Chemical class 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000005429 filling process Methods 0.000 description 2
- 230000004907 flux Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- AXZKOIWUVFPNLO-UHFFFAOYSA-N magnesium;oxygen(2-) Chemical compound [O-2].[Mg+2] AXZKOIWUVFPNLO-UHFFFAOYSA-N 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 238000009736 wetting Methods 0.000 description 2
- ZYPDJSJJXZWZJJ-UHFFFAOYSA-N 2-[4-[2-(2,3-dihydro-1H-inden-2-ylamino)pyrimidin-5-yl]-3-piperidin-4-yloxypyrazol-1-yl]-1-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)ethanone Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C=1C(=NN(C=1)CC(=O)N1CC2=C(CC1)NN=N2)OC1CCNCC1 ZYPDJSJJXZWZJJ-UHFFFAOYSA-N 0.000 description 1
- 229910002480 Cu-O Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 229910002065 alloy metal Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- 238000004949 mass spectrometry Methods 0.000 description 1
- 238000005272 metallurgy Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000027756 respiratory electron transport chain Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000005477 sputtering target Methods 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 238000007666 vacuum forming Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H01L21/203—
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/02—Pretreatment of the material to be coated
- C23C14/024—Deposition of sublayers, e.g. to promote adhesion of the coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/02—Pretreatment of the material to be coated
- C23C14/024—Deposition of sublayers, e.g. to promote adhesion of the coating
- C23C14/025—Metallic sublayers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/14—Metallic material, boron or silicon
- C23C14/16—Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/14—Metallic material, boron or silicon
- C23C14/16—Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
- C23C14/165—Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76867—Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
- H01L21/76888—By rendering at least a portion of the conductor non conductive, e.g. oxidation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53233—Copper alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Physical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
- Chemically Coating (AREA)
Abstract
구리 금속화 구조체 및 그의 형성 방법으로서, 구리-마그네슘 또는 구리-알루미늄과 같은 구리합금층이 실리콘 산화물을 기반으로 한 유전층상에 디포짓되고, 거의 순수한 구리층이 구리 합금층상에 디포짓된다. 구리합금층은 거의 순수한 구리로서 관통 홀 및 트렌치를 채우는 수반 단계를 위한 시드나 습식층으로서 역할하며, 또한 하부 유전체 및 상부 순수 구리를 갖는 인터페이스에서 장벽을 제공한다. 구리 합금은 스퍼터 공정에서는 냉각된 상태에서 디포짓될 수 있으나, 순수 구리층의 디포지션중이나 그 후의 독립된 어닐링 단계에서의 온도는 구리 합금의 합금원소가 유전층으로 이동하도록 충분히 높게 상승된다. 대안적으로, 구리 합금은 상승된 온도에서 유지되는 웨이퍼로서 디포짓될 수 있다. 산소가 많은 표면으로 이동한 합금원소는 산화하여 얇은 안정층을 형성하는 데, 예를 들어 유전층 속으로 및 관통하는 구리의 확산을 막는 장벽으로서 역할하는 마그네슘이나 알루미늄 산화물을 형성한다. 내부 장벽은 합금층이 유전층으로 부착되는 것을 촉진하기도 하며, 그것에 의해 수반하는 구리의 완전 채움(full-fill) 기술을 위한 뛰어난 습식 및 시드층을 형성한다. 합금 라인된 특징의 채움은 PVD, CVD, 또는 전기/비전기 도금을 이용하여 수행될 수 있다.
Description
본 발명은 반도체 집적회로 제조에 있어서의 금속 디포지션에 관한 것이다. 특히, 본 발명은 특히 물리적 증착에 의해 수행되는 구리 금속화에 관한 것이다.
진보된 반도체 집적회로의 중요한 부분은 액티브 반도체 영역과 접촉 및 상호접속하기 위하여 사용되는 하나 이상의 금속화 레벨을 포함하며, 액티브 반도체 영역은 보통 상당히 잘 정제된 결정체로 된 실리콘 기판 내에 존재한다. 몇몇 트랜지스터나 메모리 커패시터와 같은 다른 반도체 디바이스를 반도체 레벨 내나 바로 윗면 상에서 상호접속하는 것이 비록 가능하기는 하지만, 다중 접속되는 디바이스의 한층 복잡한 형태는 다른 레벨의 상호접속을 필요로 한다. 전형적으로, 그 내에 형성된 트랜지스터 및 커패시터를 갖는 액티브 실리콘층은 예를 들어 실리콘 산화물 같은 유전층으로 씌워진다. 콘택홀은 유전층을 관통하여 실리콘 디바이스의 특정 콘택영역까지 에치된다. 금속이 콘택홀 내로 채워지고 유전층 윗면 상에 디포짓되어 실리콘 콘택과 다른 전기적 포인트 사이의 수평적 상호접속을 형성한다. 이러한 과정을 금속화라 부른다.
단일 레벨의 금속화는 작은 용량의 간단한 집적회로에 대해서 충분할 수 있다. 그러나, 단일 레벨은 액티브 영역사이에 요구되는 레벨의 상호접속을 제공하지 못하므로, 밀집한 메모리 칩 및 특히 복잡한 로직 디바이스는 추가적 금속화 레벨을 필요로 한다. 추가적 금속화 레벨은 이미 금속화된 수평 상호접속 위로 또다른 레벨의 유전체를 디포지션하고, 유전체를 관통하여 경로라 불리는 홀을 에칭하는 과정을 반복하며, 금속으로 경로를 채우고 추가된 유전층을 씌우며, 추가된 유전체 위의 금속을 추가적인 와이어링 층으로 함으로써 달성된다. 5세대 마이크로프로세서와 같이 대단히 진보된 로직 디바이스는 5 이상의 금속화 레벨을 가질 수 있다.
종래에는, 금속화된 층은 알루미늄 및 알루미늄을 기반으로 하는 합금 즉 구리나 실리콘과 같은 합금원소를 많아야 수 퍼센트를 추가적으로 포함하는 알루미늄합금으로 되어 있었다. 금속화 디포지션은 전형적으로 스퍼터링으로 알려진 물리적 증착(PVD)으로 수행된다. 종래의 PVD 반응장치(10)는 도1에 횡단면도로 개략적으로 도시되어 있으며, 이 도시는 캘리포니아 산타클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 이용 가능한 엔두라 PVD 반응장치(Endura PVD reactor)에 근거하고 있다. 반응장치(10)는 히터 받침대(18)상에 지지된 웨이퍼(16)상에 디포짓되는 스퍼터될 물질인 PVD 타겟(14)을 봉합하는 진공실(12)을 포함한다. 실내에 지지되는 쉴드(shield)(20)는 스퍼터된 물질로부터 실 벽을 보호하며, 애노드 그라운딩 면을 제공한다. 선택가능한 DC 파워 서플라이(20)는 쉴드(20)에 대해 약 -600VDC까지 네거티브 적으로 타겟을 바이어스한다. 종래에는, 받침대(18) 및 그리하여 웨이퍼가 전기적으로 플로팅된 상태로 있다. 히터 받침대(18)는 조절가능한 전기적 파워 서플라이(26)에 의해 전력 공급되는 저항성 히터(24)를 포함한다.
스퍼터링 작업가스의 가스소스(28), 전형적으로는 화학적으로 불활성인 아르곤은 작업가스를 매스 플로우 제어기(30)를 통해 실내로 공급한다. 진공펌프시스템(32)은 실을 낮은 압력으로 유지한다. 베이스 압력은 약 10-7또는 한층 더 낮은 범위로 될 수 있지만, 작업 가스의 압력은 1 및 100 mTorr 사이에서 유지된다. 컴퓨터에 근거한 제어기(34)는 DC 파워 서플라이(22), 히터 파워 서플라이(26), 및 매스 플로우 제어기(30)를 포함하는 반응장치의 부분들의 동작을 제어한다.
아르곤이 실내로 유입되면, DC 전압은 아르곤을 고도로 가열하여 플라즈마로 만들며, 포지티브하게 하전된 아르곤 이온은 네거티브하게 하전된 타겟(14)으로 이끌리게 된다. 이온은 상당한 에너지로 타겟(14)을 때려, 타겟 원자나 원자 덩어리가 타겟(14)으로부터 스퍼터되도록 한다. 타겟 입자의 일부는 웨이퍼(16)를 때리고, 그것에 의해 웨이퍼 상에 디포짓되고 타겟 물질의 막을 형성한다.
효율적인 스퍼터링을 제공하기 위하여, 마그네트론(36)이 타겟 뒤에 배치된다. 그것은 마그네트(38,40) 주위의 실내에 자계를 생성하는 상반된 마그네트(38,40)를 구비하고 있다. 자계는 전자를 가두어 두며, 전하 중성에 대해 이온 밀도가 또한 증가하여 마그네트론(36) 근처의 실내에 고밀도 플라즈마 영역을 형성한다. 그러나, 감소하는 밀도의 플라즈마는 웨이퍼(16)쪽으로 이어진다. 고밀도 플라즈마는 스퍼터링 속도를 증가시키며, 마그네트론(36)을 타겟(14)의 뒤에 걸쳐 스캔함으로써 보다 균일한 스퍼터링 과정을 제공한다.
집적회로의 소형화가 계속됨에 따라, 금속화에 대한 요구는 증가되었다. 이제, 많은 사람들이 알루미늄 금속화는 구리 금속화에 의해 대체되어야 한다고 믿고 있다. 무라카(Murarka) 등은 구리 금속화에 대한 포괄적인 비평 논문, 즉 1995년의 Critical Reviews in Solid State and Materials Science 10권 2번 87-124 페이지의 Copper Metallization for VLSI and beyond을 제공하고 있다. 구리는 많은 이점을 제공한다. 그것의 벌크 저항은 알루미늄의 것에 비해 상당히 적다. 즉 순수 물질에 대해 1.67μΩ-㎝ 대 2.7μΩ-㎝ 이다. 비저항에 있어서의 감소는 금속화 상호접속의 폭과 두께를 감소시키므로 현저한 이점을 가져다준다. 게다가, 알루미늄 금속화에 있어서의 계속되는 문제점은 알루미늄 금속내의 알루미늄 원자가 고전류 밀도 하에서 금속화 상호접속을 따라 이동하는 성향을 갖는다는 것인데, 특히 소위 전자이동 과정에서 고온 지점으로부터 이동하여 멀어진다. 과도한 양의 그러한 이동은 알루미늄 상호접속을 파괴하여 집적회로를 실행 불가능하게 한다. 구리를 기반으로 하는 합금은 알루미늄 및 그의 합금에 비해 현저히 감소된 레벨의 전자이동을 보여준다.
따라서, 여러 면에서 구리의 벌크 작용은 알루미늄의 그것에 비해 뛰어나지만, 구리 금속화는 증명되지 않은 기술이며 종래의 알루미늄 금속화에서 경험되지 않은 어려움을 주는 것으로 알려져 있다. 그러나, 그것은 알루미늄 금속화에서 고유한 문제점들을 회피하는 방법을 제공할 수 있다. 상업적 회로에 구리의 채용을 막는 2가지의 주요한 문제점이 확인되었다.
건식 에치 과정 즉 플라즈마 에칭에 의하여 구리를 에치하는 것은 어렵다는 것이 판명되었다. 에치 문제점은 상감과정 즉 아마도 그것의 바닥에 경로 홀을 갖는 트렌치가 이산화실리콘 유전층 내로 에치되는 상감과정의 개발에 의해 회피되었던 것으로 보인다. 트렌치는 수평 상호접속의 의도된 패스를 따른다. 구리의 블랭킷 디포지션은 트렌치를 채우고, 추가적으로 유전체 위로 하나의 층을 형성한다. 그리고 나서, 웨이퍼는 트렌치의 윗면 위로 노출된 모든 구리를 제거하기 위하여 화학적 기계적 연마(CMP)를 겪게되며, 이리하여 구리 상호접속을 트렌치 내에 남겨두게 된다.
본 발명에 의하여 제기되는 또다른 문제점은 구리와 집적회로의 다른 부분들 사이의 인터페이스에 관계된다. 알루미늄의 주요한 이점은 양질의 계면 특성이다. 알루미늄은 안정된 산화물 층, Al2O3을 형성하고, 그것은 실리콘과 강한 화학적 결합을 형성한다. 구리는 산화하고, 그러나 산화물은 안정하지 못하며 습한 산소 환경에 노출된 경우 시간에 걸쳐 계속 성장한다. 구리 및 구리 산화물은 이산화실리콘에 잘 부착되지 않는다. 그 결과 구리는 이산화실리콘을 관통하여 대단히 빠르게 확산되어, 구리가 이산화실리콘으로 들어가는 것을 막는 수단이 채용되지 않는다면 유전층을 관통하여 또는 가로질러 단락을 형성할 수 있다. 종래기술에서는, 구리가 산화물 속으로 또는 관통하여 확산되지 않도록 하기 위하여 구리가 디포짓되기 이전에 이산화실리콘 위로 격리 장벽층이 디포짓되었다.
전술한 비평 논문에서 무라카 등은 계면 특성을 향상시키기 위하여 마그네슘이나 알루미늄을 갖는 합금 구리를 추천하고 있다. 렌셀러 폴리테크닉 인스티튜트(Rensselaer Polytechnic Institute)의 무라카 그룹 및 그들의 협력자들에 의하여 행해진 나중의 작업은 믿을 수 있는 구리 상호접속을 형성하는 유용한 기술을 개발하였고 그의 동작 모델을 제공했다. 랜포드(Lanford) 등이 1995년 Thin Solid Films 제262권 234-241페이지의 Low-temperature passivation of copper by doping with Al or Mg에서 기술하였듯이, 도2의 개략적 횡단면도에 도시된 바와 같이, 기판(46) 상에 구리합금 막(44)을 디포짓하기 위하여 스퍼터링이 사용된다. 합금원소의 예는 알루미늄과 마그네슘이 포함된다. 구리합금 막(44)은 구리 및 합금원소의 교호적 층으로 디포짓될 수 있으며, 또는 두 성분이 예를 들어 구리합금 스퍼터링 타겟을 사용하여 함께 스퍼터될 수 있다. 실온 근방에서 스퍼터링을 완성한 후, 웨이퍼는 예를 들어 약 400℃의 아르곤에서 30분 동안 어닐링된다. 도3의 횡단면도에서 도시된 바와 같이, 어닐링은 마그네슘의 큰 파편들을 잔존한 구리막(48)의 외부로 확산시켜 인터페이스에 존재하는 산소와 반응시켜 마그네슘 산화물 막(49)을 형성하게 한다. MgO 막(49)은 마그네슘 합금된 구리체(44)를 캡슐봉합한다. 구리 막(48)의 상부 개방 표면은 MgO 막(49)에 의해 패시베이션된다. 마그네슘 산화물은 안정적이어서, 5내지 7㎚의 범위의 두께에서 성장을 멈춘다. 얇은 산화물은 높은 접촉 저항을 야기하지 않는 것으로 믿어지지만, 어떤 경우에는 산화물은 다음의 금속화의 디포지션 이전에 스퍼터 에치에 의해 제거될 수 있다. 랜포드 등은, 같은 곳에서, 개방 표면은 아르곤 내의 산소 불순물에 의해 MgO로 산화됨을 시사하고 있다.
진보된 집적회로에서의 금속화는 고 애스팩트 비 홀을 채움에 있어 과도한 요구에 직면한다. 디바이스 밀도의 증가는 특징 사이즈가 더욱더 감소되어야 함을 요구한다. 그러나, 유전체 항복은 상호레벨 유전체 레벨의 두께가 유사하게 감소되는 것을 막아왔다. 그 결과, 경로 및 콘택의 애스팩트 비는 증가되어 왔다. 애스팩트 비는 경로나 콘택을 형성하는 유전체를 관통하는 홀의 깊이와 그 홀의 최소 측면 사이즈의 비이다. 5:1의 애스팩트 비는 발전된 기술로 간주되나, 훨씬 더 높은 값이 요구될 것이다. 고 애스팩트 비 홀이 에치될 수 있다고 가정하면, 상호레벨 접속을 위하여 그것들을 금속으로 채우는 문제가 남아 있다. 고 애스팩트 비 홀의 입체기하는 스퍼터링을 위해서는 유리하지 못하다. 왜냐하면, 종래의 스퍼터링은 꽤 등방성이어서 홀의 입구에 비해 홀의 바닥을 때리는 스퍼터된 물질이 거의 없으며, 그리고 스퍼터링은 홀의 상부에 브리지를 형성하여 더 이상의 디포지션을 막기가 쉽다. 홀 채움 문제는 도4의 횡단면도에 도시되고 있다. 좁고 깊은 홀(40)은 실리콘 산화물 기판(42) 내로 에치되며, 그것은 전기적으로 콘택 되는 도시되지 않은 구조체를 포함한다. 그리고 나서, 구리 층(44)은 홀(40)내로 채워진다. 채움을 완성하기 위한 고온의 마지막 디포지션을 수반하여 표면층을 형성하기 위하여 초기 냉각 디포지션을 포함하는 표준 PVD 프로세스에 의하여 채움이 수행될 경우, 공백(46)은 홀 내에 형성되기 쉽다. 왜냐하면, 구리가 홀(40)의 산화물 측면으로부터 습기를 제거하기 때문이다. 만일 구리가 디포지션 도중에 공백(46)에 걸쳐 브리지를 형성하면, 공백을 제거하여 홀 채움을 완성하기가 사실상 불가능하다.
홀 채움의 불리한 입체기하를 극복하기 위하여 적어도 두 가지 방법, 즉 직접 스퍼터링과 리플로우(reflow)가 사용된다. 직접 스퍼터링에서는, 수직 방향으로 과도하게 집중되는 웨이퍼 상에 입사하는 스퍼터된 입자들의 플럭스를 생성하기 위하여 여러 기술 중 하나 이상이 사용된다. 리플로우는, 금속이 적당히 낮은 온도로 흐름으로써 비록 초기에는 바람직하지 않은 분배에 의하여 디포짓되었지만 금속이 홀 내로 흘러서 그것을 채우도록 하는 사실에 근거한다. 리플로우는 디포지션 후의 어닐링에서 생성될 수 있고, 또한 고온 디포지션 중의 진행중인 베이시스에 일어날 수 있다.
직접 스퍼터링은 롱스로우(long-throw), 콜리메이션(collimation), 및 고밀도 플라즈마에서 이온화된 스퍼터 이온의 정전인력을 포함하는 많은 방법에 의해 달성될 수 있다. 비록 본 발명에서는 요구되지 않지만, 직접 스퍼터링은 나중에 언급될 것과 함께 유익하게 사용된다.
금속화 특히 구리의 리플로우는 여러 어려움을 보여준다. 알루미늄과는 달리, 구리는 상대적으로 높은 녹는점을 갖고 있다. 금속화의 녹는 온도까지 기판을 가열하는 것은 너무 높은 열적 부담을 야기할 수 있으며, 종래 가공처리 단계들과 양립할 수 없을 수 있다. 알루미늄과 구리는 그들의 녹는점보다 다소 낮은 온도에서 흐르지만, 이들 금속 각각과 보통의 상호레벨 유전체를 형성하는 이산화실리콘 사이의 인터페이스는 리플로우를 위해서는 이롭지 않다. 어떤 고온에서도 알루미늄이나 구리 모두 이산화실리콘과 잘 습식되지 않는다. 그 결과, 이러한 금속은 매끄러운 층에서 이산화실리콘의 벽 아래로 흐르지 않는다. 사실, 알루미늄이나 금속이 비록 이산화실리콘의 표면 상에서 얇은 층으로 존재하지만, 금속은 격리된 위치에서는 둥글게 뭉치는 경향이 있다. 엑슈(Xu) 등은 1996년 4월 5일 출원된 미국 특허출원번호 08/628,835에서 알루미늄 금속화에 관한 리플로우 문제점을 다루었다. 그들은 TiN 의 캐리어 층을 이용할 것을 권고하며, 가능하게는 이산화실리콘을 관통하여 연장되는 좁은 개구 내에 디포짓되는 알루미늄의 부착성을 증가시키는 것을 포함하는 다수의 기능을 수행하기 위하여 고밀도 플라즈마에 의해 Ti가 디포짓되는 것을 권고하고 있다. 캐리어 층은 이산화실리콘에 잘 부착하는 아교층으로서 역할하며, 또한 나중에 디포짓되는 알루미늄을 위한 습식층으로서 역할한다. 그 결과, 알루미늄은 비교적 낮은 온도에서 캐리어 층 아래로 흐르며, 따라서 쉽게 홀을 채운다.
본 발명은 구리 금속과 산화물층 사이에 스스로 생성되는 장벽을 제공하며, 그래서 별도의 장벽 디포지션이 요구되지 않도록 한다. 고온 스퍼터 디포지션에 대해서는 추가적인 어닐링이 요구되지 않는다. 구리 금속화 및 관련된 장벽은 경로 구조체를 채우기 위하여 또는 얇은 장벽층으로서 이용될 수 있다.
마그네슘이나 알루미늄과 같은 합금원소를 포함하는, 스스로 어닐링되는 구리 금속화를 도모한다. 적절한 조건하에서 디포짓될 경우, 합금원소는 노출된 상면의 양 인터페이스로 쉽게 확산되어 스스로 패시베이션하는 합금원소를 갖는 금속 산화물층을 형성하고, 하부의 실리카 인터페이스에는 합금원소와 실리콘의 산화물 장벽을 형성한다.
도 1은 종래 PVD 반응장치의 개략적 횡단면도,
도 2 및 도 3은 종래의 구리 합금체의 캡슐봉합을 보여주는 횡단면도,
도 4는 유전체내의 홀을 채울 때 형성되는 공백의 개략적 횡단면도,
도 5는 본 발명의 제 1 실시례에 따른 구리로 채워진 경로의 횡단면도,
도 6 및 도 7은 본 발명의 구리 합금막을 가로지르는 Mg 및 O 의 원자 프로필에 대한 그래프,
도 8은 냉각된 상태에서 디포짓되고 그리로 나서 지시된 온도에서 어닐링되는 CuMg 합금에 대한 어닐링 시간의 함수로서의 비저항 그래프,
도 9는 지시된 온도에서 디포짓되며 그리고 나서 그 온도로 유지되는 CuMg 합금에 대한 홀드 시간의 함수로서의 비저항 그래프,
도 10은 본 발명의 제 2 실시례에 따른 구리로 채워진 경로의 횡단면도,
도 11은 본 발명의 한 실시례에 따른 구리로 채워진 경로의 횡단면도, 및
도 12는 장벽의 형성 후, 도 11의 서클 12-12 로 나타난 부분의 확대 횡단면도이다.
* 도면의 주요부분에 대한 부호의 설명
60 : 하부 유전층 62 : 구리 패드
64 : 상부 유전층 66 : 경로 홀
68 : 구리합금 막 70 : 금속산화물 확산층
72 : 금속산화물 패시베이션층
본 발명의 한 면은 금속화 프로세스 및 그 결과물을 포함하는 데, 여기서 마그네슘, 알루미늄, 및 티타늄과 같은 합금원소를 수 퍼센트를 포함하는 구리합금이 경로 홀의 벽을 형성하는 이산화실리콘과 같은 산화물 표면 부분을 포함하는 기판 상으로 스퍼터된다. 상승된 온도는 합금원소가 측벽상의 산화물 쪽으로 확산되도록 하며, 실리콘 산화물 내로 또는 관통하는 구리의 확산을 막는 장벽 역할을 하는 얇고 안정된 합금 금속 산화물을 형성한다. 스퍼터링 단계에서 충분한 산소가 존재하는 경우, 구리합금의 상면에 금속산화물이 형성될 것이다. 스퍼터링 동안 합금원소 확산을 촉진하기에 충분한 상승된 온도로 유지되는 동안, 기판 상으로 구리 합금이 스퍼터되는 것이 바람직하다.
본 발명의 다른 면은 실리콘산화물의 유전층 상에 바람직하게는 냉각 스퍼터링에 의하여 디포짓되는 구리합금 막을 포함하는 구리 금속화에 대한 구조체 및 제조방법을 포함한다. 그리고 나서, 보다 순수한 구리막이 구리합금층 상에 디포짓되며, 구리 디포지션이 시작된 후 온도는 구리합금층 내로 합금원소가 확산되는 것을 촉진하도록 상승된다.
본 발명은 다른 용도 중에서도 경로나 트렌치와 같은 좁은 개구의 구리 채움에 이용 가능하다. 본 발명은 또한 구리의 평탄화에 이용 가능하다.
본 발명은 구리합금 막을 생성하는 생산 효율적인 프로세스를 포함하며, 여기서 합금의 스퍼터 디포지션은 그것의 어닐링과 밀접히 관련된다.
본 발명의 제1실시례는 도5의 횡단면도에 도시된 바와 같이 상부 상호레벨 경로이다. 낮은 레벨은 낮은 상호레벨 유전층(60)을 포함하고, 전형적으로 이산화실리콘이나 실리케이트 유리, 즉 실리콘 산화물로 되어 있다. 구리를 기반으로 한 상호접속 또는 패드(62)는 낮은 유전층(60)의 상부 표면에 형성된다. 이산화실리콘이나 실리케이트 유리의 상부 레벨 유전층(64)은 낮은 유전층(6) 위로 디포짓되고, 경로 홀(66)은 구리 패드(62) 영역 내 상부 유전층(64)을 관통하여 에치된다. 그리고 나서, 물리적 증착(PVD)이 구리합금막(68)을 경로 홀(66) 속으로 및 상부 유전층(64) 상에 디포짓하기 위하여 사용된다. 구리 및 그의 합금원소, 예를 들어 마그네슘은 같은 시간에 스퍼터되어 균일한 화합물의 입자 플럭스가 기판 상에 떨어지도록 한다. 비록 두 원소간의 스퍼터 수율의 차이로 인하여 화합물에 있어서 몇몇 상위가 스퍼터 타겟과 스퍼터 디포짓된 막 간에 생길 수 있지만, 이러한 공동-스퍼터링은 요구되는 구리합금의 그것에 가까운 화합물을 갖는 스퍼터 타겟을 사용함으로써 가장 쉽게 달성될 수 있다.
구리합금 막(68)은 비교적 상승된 온도에서 디포짓되거나 디포지션 후에 어닐링되어, 합금원소가 기판으로 이동하여 상부 유전층(64)에 인접한 금속산화물 확산층(70)을 형성하고 상부 개방 표면에는 금속 산화물 패시베이션층(72)을 형성한다. 금속산화물을 위한 산소는 실리카 층(64)내의 이산화실리콘에 의해, 또는 스퍼터링이나 독립 어닐링 단계를 위해 사용되는 아르곤이나 진공 환경 내의 잔존 산소에 의해 공급된다. 불충분한 합금원소는 표면으로 확산하여 가용한 산소와 결합하므로, 너무 높은 산소 부분 압력은 구리를 산화하여 구리 산화물을 형성한다. 나중에 보여지겠지만, 금속산화물 확산층(70) 내의 금속은 합금원소 및 약간의 실리콘을 포함하며, 반면에 금속산화물 패시베이션층(72)내의 금속은 주로 합금원소이다. 합금원소가 마그네슘인 경우, 금속산화물층(70,72) 모두는 적어도 부분적으로 MgO로 구성되는 안정된 산화물로서, 평균적 조건하에서 약 7nm 까지 형성되고 성장을 멈춘다. 이러한 얇은 산화물 패시베이션층(72)은 산화물층의 평면에 수직방향으로 현저한 전기저항을 나타내지는 않는다. 산화물층은 제1레벨 금속(62)에 인접하여 형성되지 않음을 유의하라. 왜냐하면, 인터페이스에서 상당한 양의 산소가 가용하지 않기 때문이다. 격리층은 도시되지 않았는데, 왜냐하면 합금원소가 구리 합금막(66)을 관통하여 확산되는 것과 같은 속도로 합금원소가 제1레벨 금속(62) 속으로 자유롭게 확산되는 것으로 간주되기 때문이다.
본 발명을 실행하는 한 방법에서, 구리합금은 스퍼터 디포짓되면서 구리합금을 어닐링하기에 충분히 상승된 온도로 유지되는 웨이퍼를 가지고 스퍼터된다. 즉, 그것은 고온 스퍼터 디포지션이다. 바람직한 온도는 나중에 기술된다. 도1에 도시된 바와 같이, 엔두라 PVD 반응장치는 본 발명에 요구되는 온도를 제공할 수 있는 히터(24)를 그것의 웨이퍼 받침대(18)내에 포함한다. 고온 스퍼터 디포지션에 있어, 비록 균일한 구리합금이 디포짓되기는 하지만, 구리합금은 외부로 확산되며, 이산화실리콘 유전층(64)에 인접한 내부 산화물층(70)을 형성하고, 외부 산화물층(72)을 형성한다. 구리패드(62)의 상부에서 산소가 쉽게 이용될 수 없기 때문에, 내부 산화물층은 구리패드(62)위에 형성되지 않는다. 실시간 어닐링의 이점은 초기에 디포짓된 구리합금은 즉시 실리콘산화물과 반응하여 실리콘과 합금원소의 결합산화물을 형성한다. 이 인터페이스는 구리와 실리콘산화물의 결합으로부터 이용 가능한 것에 비해 나중에 디포짓되는 구리나 구리합금을 위한 훨씬 나은 부착성을 제공한다.
본 발명을 실행하는 제2방법에서, 구리합금 막(68)은 낮은 온도 예를 들어 실온에서 PVD 디포짓된다. 이 온도에서는, 현저한 어닐링이나 합금원소의 확산은 일어나지 않는다. 구리합금 디포지션 이후, 웨이퍼는 어닐링된다. 어닐링은 구리합금 막이 디포짓되는 PVD 실내의 원위치에서, 또는 독립된 어닐링 로에서 수행될 수 있으며, 어닐링 환경은 진공이거나 아르곤과 같은 정화가스일 수 있다. 만약 히터 받침대가 어닐링 후에 요구되는 다소 더 높은 온도를 제공할 수 있다면, 디포지션 후 어닐링은 구리합금 막이 디포짓되었던 동일 PVD 실내에서 수행될 있다. 대안적으로, 웨이퍼는 타장소 어닐링을 위하여 독립 어닐링 실로 이동될 수 있다. 그러나, 어닐링 실로의 이동은 필수적으로 산소가 없는, 예를 들어 10-9Torr인 제어된 환경 내에서 수행될 필요가 있다. 어플라이드 머티어리얼스로부터의 엔두라 클러스터 툴은 진공 이송용으로 사용될 수 있다.
1996년 Materials Research Society Symposium Proceedings 제405권, 485-496페이지 Use of advantage impurity effects in metallization에서, 무라카는 어닐링과 고온 산화 사이의 상위를 증명하고 있다. 달리 다루어지지 않은 CuAl 합금막이 공기에서 300℃까지 가열되었을 때, 구리 산화물층이 형성되어 약 20시간에 걸쳐 약 70nm까지 성장한다. 즉, CuAl 막이 산화되었다. 한편, CuAl 막이 400℃에서 약 30분 동안 아르곤 환경에서 어닐링되었을 때, 위에서 막 언급하였던 수반하는 어닐링은 측정 가능한 구리 산화물층을 형성하지 않았다. 즉, 감소된 산소 환경에서 초기의 어닐링은 수반하는 산화를 막아준다.
다수의 실험이 구리합금 야금술을 이용하였지만 평면적 배치에서 수행되었다. 처음의 두 실험은 금속 산화물 장벽층(72) 상에 집중되었고, 금속 산화물 패시베이션층(70)의 효과는 보이지 않는다.
실험 1
첫 번째 일련의 실험에서, 평면 구리 금속화의 여러 형태가 도전 실리콘 기판 위의 100nm 두께의 이산화실리콘층 상에 형성되었고, 금속 콘택은 구리 금속화에 적용되었다. 추가적 콘택은 전도성 기판에 적용되었다. 그리고 나서, 샘플을 275℃로 유지하면서 산화물층 양단에 2MV/㎝의 전위를 인가함으로써, 샘플이 전기적으로 스트레스되었다. 누설전류는 시간의 함수로서 모니터되었다. 어떤 포인트에서, 산화물을 가로질러 충분한 구리가 확산됨으로써, 그것이 상당히 양질의 전도체가 되도록 하였다. 임계전류는 파손을 나타내는 것으로 간주되었다. 충분한 수의 샘플이 파손에 대한 평균시간(MTTF)을 산출하기 위하여 측정되었다.
독창적인 샘플에 대해, 구리 합금은 약 200nm 두께 까지 스퍼터 디포짓된 2원자 퍼센트의 Mg를 갖는 Cu이었다. 하나의 비교 샘플과 함께, 3가지 형태의 준비물에 대한 결과가 테이블 1에 나타나 있다.
장벽 | 디포지션/어닐 | MTTF(시간) | 최소 MTTF(시간) | 최대 MTTF(시간) |
CuMg | RT 디포지션,어닐@ 350℃, 10분 |
2.2 | 0.12 | 8.19 |
CuMg | 디포지션 @ 250℃, 5분 홀드 |
3.1 | 0.10 | 7.80 |
CuMg | 디포지션 @ 150℃, 5분 홀드 |
3.2 | 1.3 | 5.6 |
Cu 만 | 0.1 |
테이블 1
첫 번째 독창적인 샘플에서, CuMg 합금은 실온 가까이 에서 스퍼터 디포짓되었고, 그리고 나서 1mTorr 아르곤내의 350℃에서 10분 동안 어닐링되었다. MTTF는 상당히 컸지만, MTTF값의 범위는 요구되지 않게도 짧은 시간까지 이어졌다. 두 번째 독창적인 샘플에서, CuMg는 웨이퍼를 250℃로 유지하면서 스퍼터 디포짓되었으며, 웨이퍼 온도는 스퍼터링의 완료이후 5분 동안 유지되었다. MTTF는 증가되었지만, 그 범위는 여전히 너무 넓었다. 세 번째 독창적인 샘플에서, 그 대신에 CuMg는 동일한 스퍼터 후 홀드를 가지면서 150℃에서 스퍼터 디포짓되었다. MTTF는 양호하였고, 그 범위는 상당히 좁혀졌다. 그러나, 통계가 너무 빈약하여 명확한 결론을 내지 못하고, 이 시점에서 3개의 프로세스는 비교할 만한 결과를 생성한 것으로 간주되어야 한다. 이러한 값들은 비교샘플을 위한 마지막 엔트리와 비교되며, 비교샘플에서 구리막은 상당한 양의 합금을 가지고 있지 않으며, 그리고 구리와 산화물 사이에 장벽도 형성되지 않았다. MTTF는 너무 짧아 측정할 수 없었다.
실험 2
상기한 것들과 유사하게 준비된 독창적인 평면 샘플에 대해 원자 프로필이 측정되었다. 프로필링은 종속 이온 매스 스펙트로스코피를 가지고 수행되었다. 마그네슘의 원자 농도가 도 6에 도시되었다. 커버(80)는 독립된 상승 온도 어닐링 단계를 가지지 않는, 구리 및 2원자 퍼센트 Mg을 갖고서 250℃에서 스퍼터 디포짓된 샘플에 대한 프로필을 제공하고 있다. 커버(82)는 50℃이하에서 스퍼터 디포짓되며 그후 350℃에서 어닐링된 샘플에 대한 프로필을 제공하고 있다. 양 샘플 모두 개방 표면으로 및 실리콘 산화물 아래부분 쪽으로 Mg의 명확한 확산을 보여주고 있다. 그런 후, Mg는 실리콘 산화물 속으로 확산되지만, 약 100nm 이후에는 농도가 떨어진다. 그러나, 고온 디포짓된 구리합금은 Cu의 주요한 부분에서 Mg의 더 큰 공핍을 보여주며, 이것은 감소된 전기 저항을 제공한다. 산소의 원자 농도가 도7에 도시되고 있다. 커버(84)는 어닐링 없이 350℃에서 디포짓된 고온 스퍼터된 샘플에 대한 프로필을 제공하고 있다. 반면에, 커버(86)는 별도의 어닐링을 갖는 이전에 기술된 냉각 스퍼터된 샘플에 대한 프로필이다. 7nm 표면 산화물층은 너무 얇아서 이 기술에 의해서는 정확하게 측정될 수 없다. 고온 디포짓된 샘플은 Cu의 벌크 내에 상당히 감소된 산소 농도를 가지고 있으며, 이는 명백히 감소된 전기 저항에 기여하고 있다.
따라서, 고온 스퍼터는 독립 어닐링 단계를 생략하며 합금원소의 양 및 잔존하는 구리막의 산소 불순물의 양을 감소시키는 이점을 가지고 있다.
실험 3
도 6 및 도 7의 프로필에 사용된 동일 샘플의 몇몇은 디포지션 및 어닐링 온도의 함수로서 그들의 비저항에 대해 측정되었고, 그 결과는 조작 온도를 최적화하기 위한 또다른 기초를 제공하고 있다.
웨이퍼를 실온으로 유지하면서 두 세트의 샘플이 2원자 퍼센트 Mg을 갖는 구리합금으로 PVD 디포짓되었다. 그런 후, 한 세트의 샘플은 진공 환경에서 350℃로 별도 어닐링, 즉 별도로 어닐링되었다. CuMg의 비저항은 이 어닐링의 시간길이의 함수로 측정되었다. 350℃결과가 도8의 커버(90)에 의해 도시되고 있다. 또다른 세트의 샘플은 450℃로 어닐링되었고, 그 결과는 커버(92)로 도시되고 있다. 상호접속을 위하여, 비저항은 최소화되어야 한다. 그 결과는 열적부담을 최소화하기 위하여 약 10분 동안 350℃의 최소 어닐링 후 온도를 제안한다.
관련된 실험에서, 3개의 더 많은 세트의 샘플이 상승된 디포지션 온도에서 CuMg 합금을 가지고 PVD 디포짓되었다. 스퍼터 디포지션의 종료 후, 샘플은 가변시간 동안 디포지션 온도로 유지되었다. 175℃ 스퍼터링에 대한 그 결과가 도9의 라인(94)에 의해 도시되고 있으며, 250℃ 스퍼터링에 대해서는 라인(96)에 의하여, 350℃ 스퍼터링에 대해서는 라인(98)에 의하여 도시되고 있다. 이 결과에 의하면, 홀드시간은 효과가 거의 없다. 즉 디포지션 중의 원위치 어닐링은 낮은 비저항을 얻기에 충분하다. 이 결과는 또한 최소의 열적 부담에서 최소의 비저항을 얻기 위하여 스퍼터링 온도는 250℃의 최소가 되어야 한다. 원위치 어닐링을 위한 최적 온도 범위는 200 내지 300℃임이 예견된다. 그러나, 테이블1의 결과는 확산 장벽 특성이 150℃의 훨씬 더 낮은 온도에서도 향상됨을 보여주고 있다. 비록 비저항 데이터가 이 온도에서 이용할 수 없기는 하지만, 도9의 경향은 이 온도에서 수긍할 만한 비저항을 나타내고 있다. 그러므로, 비저항은 확산 저항과 교환될 수 있다.
도 8 및 도 9의 결과를 비교할 때, 원위치 및 별도 어닐링 모두 거의 동일한 낮은 비저항 값을 생성할 수 있다. 그러나, 열적 부담은 도9의 실시간 원위치 어닐링의 경우 최소화된다.
도 5에 도시된 구조체는 균일한 구리합금 막(68)이 경로 홀(66)을 완전히 채우고 있음을 가정했다. 그러나, 본 발명의 많은 이점은 50nm 이하 두께의 대단히 얇은 구리합금 막으로서 얻어질 수 있다. 도 10의 횡단면도에 도시된 바와 같이, 구리 합금의 등각 막(100)은 경로 홀(66)내로 및 제 2 유전체 산화물 층(64) 상에 디포짓된다. 좁고 깊은 경로내의 구리 합금막(100)의 등각성은 HDP,롱스로우(long throw), 또는 콜리메이션(collimation)과 같은 특수한 기술을 필요로 한다. 퓨(Fu) 등은 1997년 5월 8일 출원된 미국 특허출원번호 08/854,008에서 대체 기술을 개시하였다. 그들의 기술에서, 그것은 구리 스퍼터링에 특히 적합하고, 애노드 그리드는 타겟과 기판사이에 삽입되어 지속되는 스스로의 스퍼터링(sustained self-sputtering)을 가능케 하며 보다 직접적인 스퍼터 디포지션을 제공한다.
고온 스퍼터링 프로세스나 어닐링 후 중 어느 하나는 등각의 구리 합금막(60)내의 합금원소를 유전체 산화물층(64)으로 확산시켜 전술한 금속 산화물 장벽층(70)을 형성한다. 독립 디포지션 프로세스는 구리층(102)을 디포짓하며, 그것은 경로 홀(66)을 채우고 상부 유전층(64)을 씌운다. 장벽 층(70)이 구리 합금막(100)내의 합금원소로부터 형성되므로, 구리층(102)내에 합금원소가 요구되지 않는다. 홀 채움은 PVD, CVD, 또는 전기 또는 비전기 도금을 포함하는 많은 프로세스에 의해 수행될 수 있다. 무라카는 Critical Reviews에서의 그의 전술한 논문에서 구리의 비전기 도금을 기술하고 있다. 구리층(102)은 구리 합금막(100)으로 코팅된 경로 홀을 보다 쉽게 채우며, 따라서 제2구리 디포지션은 특수한 홀 채움 기술을 필요로 하지 않는다. 이미 언급한 바와 같이, 어닐링된 구리합금층은 특히 고온 디포짓될 경우 실리콘 산화물에 양질의 부착층을 제공한다.
실험 4
일련의 샘플은 부식 저항에 대해 테스트되었다. 전술한 구리 마그네슘 합금의 샘플은, 아래에 기술되는 바와 같은 제조 후, 약 30분 동안 공기 환경을 갖고서 150℃로 오븐 내에서 어닐링되었다. 어닐링 후, 샘플은 표면 부식에 대해 외관상으로 검사되었다. 그 결과가 테이블 2에 나타나 있다.
프로세스 | 어닐/디포지션 온도 (℃) |
홀드/어닐 시간 (분) |
색 |
별도 어닐 | 500 | 30 | 부분적으로 갈색 |
고온 스퍼터 | 200 | 5 | 청색 |
고온 스퍼터 | 300 | 5 | 청색 |
고온 스퍼터 | 400 | 0 | 부분적으로 갈색 |
고온 스퍼터 | 400 | 10 | 오케이(OK) |
테이블 2
첫 번째 샘플은 실온에서 스퍼터되었고, 그런 후 독립의 별고 어닐링에서 500℃로 어닐링되었다. 그것의 표면은 부분적으로 갈색인데, 이는 약간의 구리 산화를 나타낸다. 두 번째 및 세 번째 샘플은 각각 200과 300℃에서 고온 스퍼터되었고, 5분 동안 그들의 온도로 유지되었다. 둘 다 청색 외관을 가졌으며, 이는 부분적 구리 산화를 나타낸다. 네 번째 샘플은 디포지션 후 홀드 없이 400℃로 고온 스퍼터되었다. 부분적인 갈색은 구리 산화를 나타내었다. 마지막 샘플은 400℃로 고온 스퍼터되었으며, 스퍼터링 이후 10 분 동안 그 온도로 유지되었다. 색변화는 나타나지 않았다.
이러한 결과는 표면 부식을 최소화하기 위해서는 고온 스퍼터링이 바람직하고, 고온 스퍼터링은 300℃이상에서 수행되어야 하며, 바람직하게는 상당한 디포지션 후 홀드를 갖는 400℃ 및 그 이상으로 수행되어야 한다. 따라서, 표면 부식의 고려는 더 높은 고온 스퍼터링 및 장벽 특성 고려에 비해 더 긴 홀드를 의미한다. 또한 별도 어닐링은 만족스러울만하게 낮은 표면 부식을 가져오는 것 같지 않다. 물론, 표면 산화물은 수반하는 디포지션 이전에 스퍼터 프리-에치에 의해 제거될 수 있다.
본 발명은 서술한 구리합금에 한정되지 않는다. 일반적으로, 원자 합금 퍼센티지는 10원자 퍼센트까지이다. 그러나, Mg 합금화는 6원자 퍼센트 이하로 유지되어야 하며, Al 합금화는 0.3 원자 퍼센트 이하로 유지되어야 하는 것으로 믿어지고 있다. 최소 합금화 퍼센티지는 0.05 원자 퍼센트이다. 안정된 산화물을 형성하고 쉽게 구리를 관통하여 확산되는 탄탈 및 보론과 같은 다른 금속 합금원소가 이용될 수 있다.
이와 같이, 본 발명의 제1면은 구리 금속과 산화물층 사이에 스스로 생성되는 장벽을 제공하며, 그래서 별도의 장벽 디포지션이 요구되지 않는다. 고온 스퍼터 디포지션에 대해서는 추가적인 어닐링이 요구되지 않는다. 구리 금속화 및 관련된 장벽은 경로 구조체를 채우기 위하여 또는 얇은 장벽층으로서 이용될 수 있다.
상기한 것은 마그네슘이나 알루미늄과 같은 합금원소를 포함하는 스스로 어닐링되는 구리 금속화의 이점을 개시하고 있다. 적절한 조건하에 디포짓될 경우, 합금원소는 노출된 상면의 양 인터페이스로 쉽게 확산되어 스스로 패시베이션하는 합금원소를 갖는 금속 산화물층을 형성하고, 하부의 실리카 인터페이스에는 합금원소와 실리콘의 산화물 장벽을 형성한다.
상기한 개시는 주로 구리와 실리카 사이의 장벽의 형성과 이점에 초점이 맞추어져 있다. 우리는 가능한 몇몇 변경을 갖는 유사한 프로세스가 홀 채움을 위해 유익하게 사용될 수 있음을 알아냈다.
본 발명의 다른 실시례에 따르면, 도11의 횡단면도에 도시된 바와 같이, 기판은 그것의 표면 상에 금속라인(62)을 갖는 제1 유전층(60)을 포함하고 있다. 논의는 주로 상호레벨 경로에 초점이 맞추어질 것이며, 즉 하부 실리콘 영역으로의 접촉보다는 오히려 금속화의 한 레벨로부터 다른 레벨로의 도전적 홀에 초점이 맞추어질 것이다. 제2유전층(64)은 금속라인(62) 및 제1 유전층(60) 위로 디포짓된다. 비록 실리카의 다른 형태들 및 실리케이트 유리들이 같은 효과로 사용될 수 있기는 하지만, 이 실시례에서의 제2유전층(64)은 실리콘 산화물, 예를 들어 플라즈마 강화된 CVD 프로세스에 의해 디포짓된 이산화실리콘으로 되어 있다. 비록 본 발명의 구리 기술이 Al/Ti/TiN과 같은 더 낮은 알루미늄 금속과 결합될 수 있지만, 금속라인(62)은 구리로 구성되는 것이 바람직하다. 경로 홀(66)은 제2유전층(64)을 관통하여 하부의 금속라인(62)까지 포토리소그라피(photolithography)로 에치된다.
그리고 나서, 구리합금의 시드층(110)은 좁은 경로 홀(66)의 양질의 바닥 및 측벽에 조력하는 조건하에 경로 홀(66) 내로 및 제2유전층(64)의 꼭대기에 스퍼터 디포짓된다. 퓨 등은 상기한 미국 특허출원에서 지속되는 구리의 자동스퍼터링을 위한 반응장치를 개시하고 있으며, 이는 구리 및 그의 합금의 요구되는 거의 등각 스퍼터 디포지션을 위해 유익하게 사용될 수 있다.
시드층(110)은 약 5내지 10nm 의 대단히 작은 두께까지만 디포짓될 필요가 있다. 그것은 약 200nm까지 더 두꺼워질 수 있지만, 추가적인 두께는 나중에 기술될 홀 채움 과정에서의 생성 효율을 떨어뜨린다. 따라서, 생성환경에서 단지 50 또는 100nm의 두께가 바람직하다. 시드층(110)이 좁은 홀을 채우기 위하여 사용될 경우, 언급된 두께는 홀의 측면과 바닥에서의 그것이다. 측벽 디포지션의 두께는 특징 사이즈, 그것의 애스팩트 비, 및 스퍼터링 조건에 의존하는 비를 갖는 평면적 상면 상의 디포지션 두께의 단지 일부분일 수 있다. 층(110)이 디포지션 동안 스스로 어닐링하도록 시드층(110)은 고온 조건하에 스퍼터 디포짓될 수 있다. 고온 스퍼터는 비록 더 높은 온도가 가능하지만 200 내지 400℃의 범위에서 기판을 유지하면서 수행되는 것이 바람직하다. 그러나, 고온 스퍼터링의 복잡성은 본 발명의 많은 효과를 위해서 요구되는 것은 아니다. 대신에, 시드층(110)은 200℃ 아래, 심지어 100℃ 아래의 냉각 조건에서, 스퍼터 디포짓될 수 있으며, 온도는 인터페이스로의 합금원소의 확산을 조력하지 않는다. 구리 산화물층이 시드층(110)의 노출된 표면에 형성되는 것은 냉각 스퍼터 디포지션 하에서 가능하지만, 바람직한 채움 과정은 구리 산화물을 제거한다.
우리는 구리합금 시드층(110)이 대단히 매끄러운 표면을 갖고서 형성되는 것을 관찰했다. 특히, SiO2상에 디포짓되며 그후 30분 동안 450℃에서 어닐링된 200nm 두께의 Cu-Mg 층은 대단히 매끄러운 층을 형성했다. SiO2위의 20nm 탄탈 장벽위로 유사하게 디포짓된 순수 구리의 20nm층은 구리의 굵은 덩어리를 형성하였다. 이는 200℃만큼이나 낮은 온도에서 심한 습기 제거가 이루어짐을 의미한다. 이러한 결과는 매끄러운 구리합금 시드층(110)은 디포지션 후의 구리에 양질의 습윤을 제공하며, 따라서 깊은 경로와 트렌치에 대한 구리의 충만을 촉진한다.
얇은 시드층(110)이 디포짓된 후, 경로 홀(66)은 비교적 순수한 구리로서 제2디포지션 단계에 의해 채워진다. 비교적 순수한 구리라는 것은 적어도 99 원자 퍼센트 순수한 것을 의미하고, 1퍼센트 불순물 또는 도핑레벨은 여기에 언급된 합금원소에는 적용되지 않는다. 구리층(62)을 생성하는 충만 디포지션 단계는 PVD에 의하여, 화학적 증착(CVD)에 의하여, 또는 전기도금이나 비전기도금에 의하여 수행될 수 있다. 전술한 Critical Reviews에서의 논문에서 무라카 등이 이러한 디포지션 방법을 개시하고 있다. 합금 시드층(110)은 냉각 스퍼터에서 디포짓되는 것이 바람직하고, 순수 구리 디포지션은 시드층이 어닐링되기 전에 수행되는 것이 바람직하다. 그 결과, 비록 Cu-O 결합이 강하지가 않으므로 산소가 어닐링되어 제거될 수 있지만, 이 구리합금 시드층(110)은 동시에 산화되어 구리 산화물의 표면층을 형성한다. PVD 구리 충만을 위해서는, 3000℃이상의 비교적 높은 온도, 바람직하게는 400내지 550℃로 수행되어야 한다. 제2 PVD 디포지션은 표준 PVD 프로세스, 콜리메이트된 PVD, 고밀도 플라즈마 PVD, 또는 다른 변경일 수 있다. CVD 디포지션에 대해서는, 플라즈마 사전세정이 사용될 수 있다. 전기도금은 본래 구리 산화물을 제거한다. 구리합금 시드층(110)의 매끄러운 표면은 산화물로의 Cu-Mg의 양질의 부착에 기인한 습윤 제거 없이 비교적 낮은 온도에서 경로 홀 내로의 리플로우를 촉진한다. 매끄러운 구리합금 표면은 나중에 디포짓된 구리를 위하여 부착성을 촉진한다. CVD 구리에 대하여, 단지 200℃의 리플로우 온도가 필요하다. 전기 도금된 구리에 대해서는, 단지 100℃가 필요하다.
경로 채움 이후, 구조체는 합금원소가 구리합금 시드층(110)내에 확산되도록 어닐링된다. 도12의 확대된 횡단면도에 도시된 바와 같이, 합금원소는 유전층(64) 쪽으로 확산되어 대단히 얇은 장벽층(114)을 시드층(110)과 유전층(64) 사이의 인터페이스에 형성함으로써 구리가 실리카 유전층(64) 속으로 및 관통하여 확산되지 않도록 한다. 장벽층(114)은 약간의 실리콘을 갖는 합금원소의 산화물로 구성되어 있다. 합금원소는 또한 구리 필(fill)(112)내로 확산할 것이지만, 얇은 시드층(60)이 표면 패시베이션층을 형성하기에 충분한 합금원소를 공급할 수 있다면, 구리의 평면적 상부층의 두께는 최소화될 필요가 있다. 그러나, 개방표면 패시베이션은 일반적으로 중요하지 않다. 왜냐하면, 전체 표면은 다음의 화학적 기계적 연마 단계에서 평평하게 연마되기 때문이다. 웨이퍼 상의 다른 구조체를 열적으로 퇴화시키지 않는다는 조건에서 비록 600℃까지 사용될 수 있지만, 어닐링은 300내지 400℃의 온도범위 내에서 수행되는 것이 바람직하다.
어닐링은 독립 어닐링 로에서 또는 복사 램프를 갖는 급속 열처리에 의해 수행될 수 있다. 그것은 또한 원위치 PVD 어닐링, 즉 상승된 기판 온도에서 수행되는 PVD 디포지션 또는 PVD 디포지션의 종료 후 PVD 실내에서 수행되는 어닐링에 의해서 수행될 수 있다. 어닐링 환경은 산화를 최소화하는 고진공 형성가스 또는 구리 산화물이 아닌 예를 들어 MgO나 Al2O3와 같은 합금원소 산화물의 표면층을 형성하기 위한 감소된 산소 부분 압력일 수 있다.
이리하여, 하나의 구리합금 시드층(110)은 실리카 인터페이스에서 장벽층을, 구리 인터페이스에서 부착/습식 층을 제공한다. 종래에는, 구리로서 실리카내의 깊은 홀을 채우기 위해서는 별도의 장벽 및 습식층이 요구되었었다.
비록 상기한 서술이 일반적으로 사각형이나 원형의 경로 홀에 대한 홀 경로를 언급하고 있지만, 본 발명은 다른 기하 구조에도 적용될 수 있다. 개구는 유전체 표면을 따라 상당한 거리 연장된 트렌치일 수 있다. 트렌치는 유전체를 관통하여 연장될 필요는 없고, 순수 구리는 트렌치 내 합금층 위로의 비교적 얇은 등각 층으로 적용될 수 있다.
본 발명의 합금 시드층의 또다른 애플리케이션은 구리 평탄화에 관한 것이다. 도11에 도시된 바와 같이, 조그만 함몰부(118)가 경로 홀(66)을 덮고 있는 구리 층(112)의 표면에 형성된다. 경로 홀(66)이 구리층(112)의 평면 부분의 두께보다 훨씬 더 깊을 수 있다는 사실에 비추어 볼 때, 홀 채움의 기하구조는 훨씬 더 깊은 함몰부(118)를 의미할 수 있으며, 이는 나중에 형성된 층에 심하게 영향을 줄 수 있다. 그러나, 깊은 홀의 충만을 촉진하는 본 발명의 시드층에 의해 형성되는 동일한 효과가, 그것이 디포짓되거나 후에 어닐링될 때, 구리의 측방 리플로우에 기인한 구리층(112) 상부 표면의 평탄화를 또한 촉진한다. 이것에 의해, 함몰부(118)의 크기가 감소된다.
비록 마그네슘이 구리를 위한 가장 바람직한 합금원소이고 알루미늄 또한 유익한 결과를 가져다주는 것으로 알려져 있지만, 다른 합금원소 예를 들어 보론, 탄탈, 텔루르, 및 티타늄과 같은 원소도 구리와 함께 사용된다. 상기한 이점을 제공하기 위하여, 이러한 합금원소는 안정된 산화물을 형성하여야 하고 구리를 관통하여 쉽게 확산되어야 한다. 일반적으로, 원자 합금 퍼센티지는 10원자 퍼센트까지이다. 그러나, Mg합금은 6원자 퍼센트 이하로 유지되어야 하고, Al합금은 0.3원자 퍼센트 이하로 유지되어야 한다. 최소 합금 퍼센티지는 0.05 원자 퍼센트이다.
이와 같이, 본 발명은 구조체 또는 그의 제조에 있어 과도한 복잡성 없이 많은 유익한 특성을 보인다. 구리합금은 유전체 인터페이스에서 장벽과 개방 표면에서 패시베이션을 제공할 뿐만 아니라, 나중에 디포짓되는 구리층의 디포지션을 촉진한다. 효과는 고 애스팩트 비의 홀을 채울 때, 특히 효과적이다.
Claims (26)
- 산화물을 포함하는 기판의 유전층 상에, 구리 및 10원자 퍼센트 이하의 합금원소를 포함하는 구리합금층을 스퍼터 디포지션하는 제 1 단계 및 상기 구리합금층 상에 구리층을 디포지션하는 제 2 단계를 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 구리층은 거의 순수한 구리인 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 제1단계는 상기 기판을 200℃ 이상의 온도로 유지하면서 수행되는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 3항에 있어서, 상기 온도는 400℃이하인 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 제 2 단계는 구리를 도금하는 단계를 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 제 2 단계는 구리의 화학적 증착을 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 3항에 있어서, 상기 제 2 단계는 구리의 물리적 증착 단계를 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 7항에 있어서, 상기 제 1 단계는 상기 제 2 단계에서 상기 합금원소를 상기 구리합금층 내에 확산시킬 만큼 충분히 높은 제 2 온도보다 상당히 낮은 제1온도로 상기 기판을 유지하면서 수행되는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 8항에 있어서, 상기 제 1 온도는 200℃ 이하인 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 9항에 있어서, 상기 제 1 온도는 100℃ 이하인 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 제 2 단계 이후에, 상기 합금원소를 상기 구리합금층 내로 확산시키기 위하여 상기 구조체를 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 제 1 단계는 상기 기판을 200℃ 이하의 온도로 유지하면서 수행되는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 12항에 있어서, 상기 합금원소는 마그네슘을 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 13항에 있어서, 상기 마그네슘은 0.05 내지 6 원자 퍼센트 만큼 존재하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 합금원소는 알루미늄을 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 15항에 있어서, 상기 알루미늄은 0.05 내지 0.3 원자 퍼센트 만큼 존재하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 합금원소는 붕소, 탄탈, 텔루르, 및 티타늄으로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 제 1항에 있어서, 상기 산화물은 실리콘 산화물을 포함하는 것을 특징으로 하는 구리 금속화 구조체 형성방법.
- 산소를 포함하는 유전층, 구리 및 10원자 퍼센트 이하의 합금원소를 포함하며, 상기 유전층 상에 형성되는 구리합금층 및 상기 구리합금층 상에 디포짓되는 거의 순수한 구리층을 포함하는 것을 특징으로 하는 금속화 구조체.
- 제 19항에 있어서, 상기 구리합금층 및 상기 유전층 사이에, 실리콘, 상기 합금원소, 및 산소를 포함하는 계면 산화물층을 더 포함하는 것을 특징으로 하는 금속화 구조체.
- 제 19항에 있어서, 상기 합금원소는 마그네슘을 포함하는 것을 특징으로 하는 금속화 구조체.
- 제 21항에 있어서, 상기 마그네슘은 0.05 및 6 원자 퍼센트 사이의 양으로 존재하는 것을 특징으로 하는 금속화 구조체.
- 제 19항에 있어서, 상기 합금원소는 알루미늄을 포함하는 것을 특징으로 하는 금속화 구조체.
- 제 23항에 있어서, 상기 알루미늄은 0.05 및 0.3 원자 퍼센트 사이의 양으로 존재하는 것을 특징으로 하는 금속화 구조체.
- 제 19항에 있어서, 상기 합금원소는 붕소, 탄탈, 텔루르, 및 티타늄으로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 금속화 구조체.
- 제 19항에 있어서, 상기 유전층은 상기 유전층 내로 연장되는 개구를 포함하며, 거기서 상기 구리합금층이 상기 개구의 측면에 코딩되는 것을 특징으로 하는 금속화 구조체.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/853,191 US6037257A (en) | 1997-05-08 | 1997-05-08 | Sputter deposition and annealing of copper alloy metallization |
US8/853,191 | 1997-05-08 | ||
US8/878,143 | 1997-06-18 | ||
US08/878,143 US6387805B2 (en) | 1997-05-08 | 1997-06-18 | Copper alloy seed layer for copper metallization |
Publications (1)
Publication Number | Publication Date |
---|---|
KR19980086841A true KR19980086841A (ko) | 1998-12-05 |
Family
ID=27127124
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019980016379A KR19980086841A (ko) | 1997-05-08 | 1998-05-08 | 구리 합금 금속 및 시드층의 스퍼터 디포지션 및 어닐링 |
Country Status (5)
Country | Link |
---|---|
US (2) | US6387805B2 (ko) |
EP (1) | EP0877421A3 (ko) |
JP (1) | JPH1154458A (ko) |
KR (1) | KR19980086841A (ko) |
TW (2) | TW200406851A (ko) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100361207B1 (ko) * | 1999-12-29 | 2002-11-18 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 형성방법 |
KR100919378B1 (ko) * | 2002-10-28 | 2009-09-25 | 매그나칩 반도체 유한회사 | 반도체 소자의 금속 배선 및 이의 형성 방법 |
WO2010077875A2 (en) * | 2008-12-31 | 2010-07-08 | Applied Materials, Inc. | Method for improving electromigration lifetime of copper interconnection by extended post anneal |
KR101135418B1 (ko) * | 2006-12-28 | 2012-04-17 | 가부시키가이샤 알박 | 배선막의 형성 방법, 트랜지스터, 및 전자 장치 |
KR101389187B1 (ko) * | 2007-04-13 | 2014-05-26 | 도쿄엘렉트론가부시키가이샤 | 에칭 방법, 에칭 시스템 및 에칭 장치 |
Families Citing this family (144)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100270593B1 (ko) | 1996-06-11 | 2000-12-01 | 포만 제프리 엘 | 부분 중첩 상호 접속 구조 및 그 제조 방법 |
WO1999040615A1 (en) | 1998-02-04 | 1999-08-12 | Semitool, Inc. | Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device |
US7244677B2 (en) * | 1998-02-04 | 2007-07-17 | Semitool. Inc. | Method for filling recessed micro-structures with metallization in the production of a microelectronic device |
US5939788A (en) * | 1998-03-11 | 1999-08-17 | Micron Technology, Inc. | Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper |
US6632292B1 (en) * | 1998-03-13 | 2003-10-14 | Semitool, Inc. | Selective treatment of microelectronic workpiece surfaces |
US6455937B1 (en) | 1998-03-20 | 2002-09-24 | James A. Cunningham | Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects |
US6181012B1 (en) * | 1998-04-27 | 2001-01-30 | International Business Machines Corporation | Copper interconnection structure incorporating a metal seed layer |
US6359328B1 (en) * | 1998-12-31 | 2002-03-19 | Intel Corporation | Methods for making interconnects and diffusion barriers in integrated circuits |
US6383915B1 (en) * | 1999-02-03 | 2002-05-07 | Applied Materials, Inc. | Tailoring of a wetting/barrier layer to reduce electromigration in an aluminum interconnect |
US6177347B1 (en) * | 1999-07-02 | 2001-01-23 | Taiwan Semiconductor Manufacturing Company | In-situ cleaning process for Cu metallization |
EP1069213A3 (en) * | 1999-07-12 | 2004-01-28 | Applied Materials, Inc. | Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper |
US6521532B1 (en) | 1999-07-22 | 2003-02-18 | James A. Cunningham | Method for making integrated circuit including interconnects with enhanced electromigration resistance |
US6551872B1 (en) | 1999-07-22 | 2003-04-22 | James A. Cunningham | Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby |
US6441492B1 (en) | 1999-09-10 | 2002-08-27 | James A. Cunningham | Diffusion barriers for copper interconnect systems |
US6391163B1 (en) * | 1999-09-27 | 2002-05-21 | Applied Materials, Inc. | Method of enhancing hardness of sputter deposited copper films |
US6432819B1 (en) | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US6610151B1 (en) * | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US6924226B2 (en) * | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
US7105434B2 (en) * | 1999-10-02 | 2006-09-12 | Uri Cohen | Advanced seed layery for metallic interconnects |
US6686661B1 (en) * | 1999-10-15 | 2004-02-03 | Lg. Philips Lcd Co., Ltd. | Thin film transistor having a copper alloy wire |
US6458694B2 (en) * | 2000-01-24 | 2002-10-01 | Ebara Corporation | High energy sputtering method for forming interconnects |
US6679951B2 (en) | 2000-05-15 | 2004-01-20 | Asm Intenational N.V. | Metal anneal with oxidation prevention |
US6878628B2 (en) | 2000-05-15 | 2005-04-12 | Asm International Nv | In situ reduction of copper oxide prior to silicon carbide deposition |
US7494927B2 (en) * | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
TW508658B (en) | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6525425B1 (en) | 2000-06-14 | 2003-02-25 | Advanced Micro Devices, Inc. | Copper interconnects with improved electromigration resistance and low resistivity |
US6387806B1 (en) * | 2000-09-06 | 2002-05-14 | Advanced Micro Devices, Inc. | Filling an interconnect opening with different types of alloys to enhance interconnect reliability |
US6521537B1 (en) * | 2000-10-31 | 2003-02-18 | Speedfam-Ipec Corporation | Modification to fill layers for inlaying semiconductor patterns |
US6509262B1 (en) * | 2000-11-30 | 2003-01-21 | Advanced Micro Devices, Inc. | Method of reducing electromigration in copper lines by calcium-doping copper surfaces in a chemical solution |
US6515373B2 (en) * | 2000-12-28 | 2003-02-04 | Infineon Technologies Ag | Cu-pad/bonded/Cu-wire with self-passivating Cu-alloys |
US6693343B2 (en) * | 2000-12-28 | 2004-02-17 | Infineon Technologies Ag | Self-passivating Cu laser fuse |
US6696358B2 (en) * | 2001-01-23 | 2004-02-24 | Honeywell International Inc. | Viscous protective overlayers for planarization of integrated circuits |
US6451664B1 (en) | 2001-01-30 | 2002-09-17 | Infineon Technologies Ag | Method of making a MIM capacitor with self-passivating plates |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US7491634B2 (en) | 2006-04-28 | 2009-02-17 | Asm International N.V. | Methods for forming roughened surfaces and applications thereof |
US9139906B2 (en) * | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
US7563715B2 (en) | 2005-12-05 | 2009-07-21 | Asm International N.V. | Method of producing thin films |
US7781327B1 (en) | 2001-03-13 | 2010-08-24 | Novellus Systems, Inc. | Resputtering process for eliminating dielectric damage |
US8043484B1 (en) | 2001-03-13 | 2011-10-25 | Novellus Systems, Inc. | Methods and apparatus for resputtering process that improves barrier coverage |
US7186648B1 (en) | 2001-03-13 | 2007-03-06 | Novellus Systems, Inc. | Barrier first method for single damascene trench applications |
US6764940B1 (en) | 2001-03-13 | 2004-07-20 | Novellus Systems, Inc. | Method for depositing a diffusion barrier for copper interconnect applications |
US6740221B2 (en) | 2001-03-15 | 2004-05-25 | Applied Materials Inc. | Method of forming copper interconnects |
US6607982B1 (en) * | 2001-03-23 | 2003-08-19 | Novellus Systems, Inc. | High magnesium content copper magnesium alloys as diffusion barriers |
US6656834B1 (en) * | 2001-06-20 | 2003-12-02 | Advanced Micro Devices, Inc. | Method of selectively alloying interconnect regions by deposition process |
US6506668B1 (en) * | 2001-06-22 | 2003-01-14 | Advanced Micro Devices, Inc. | Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability |
WO2006025347A1 (ja) | 2004-08-31 | 2006-03-09 | National University Corporation Tohoku University | 銅合金及び液晶表示装置 |
US6727177B1 (en) * | 2001-10-18 | 2004-04-27 | Lsi Logic Corporation | Multi-step process for forming a barrier film for use in copper layer formation |
TWI223867B (en) * | 2001-10-26 | 2004-11-11 | Applied Materials Inc | Method for forming a metal interconnect on a substrate |
US20030217462A1 (en) * | 2001-12-13 | 2003-11-27 | Fei Wang | Method for improving electromigration performance of metallization features through multiple depositions of binary alloys |
US7067424B2 (en) * | 2001-12-19 | 2006-06-27 | Koninklijke Philips Electronics N.V. | Method of manufacturing an electronic device |
WO2003063067A1 (en) * | 2002-01-24 | 2003-07-31 | Chatterbox Systems, Inc. | Method and system for locating positions in printed texts and delivering multimedia information |
US6911394B2 (en) | 2002-02-25 | 2005-06-28 | Texas Instruments Incorporated | Semiconductor devices and methods of manufacturing such semiconductor devices |
JP3727277B2 (ja) * | 2002-02-26 | 2005-12-14 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6656836B1 (en) * | 2002-03-18 | 2003-12-02 | Advanced Micro Devices, Inc. | Method of performing a two stage anneal in the formation of an alloy interconnect |
WO2003085713A1 (en) * | 2002-04-03 | 2003-10-16 | Applied Materials, Inc. | Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects |
TW533588B (en) | 2002-04-24 | 2003-05-21 | Nanya Technology Corp | Flash memory and its manufacturing method |
US6649513B1 (en) * | 2002-05-15 | 2003-11-18 | Taiwan Semiconductor Manufacturing Company | Copper back-end-of-line by electropolish |
US6720608B2 (en) * | 2002-05-22 | 2004-04-13 | United Microelectronics Corp. | Metal-insulator-metal capacitor structure |
US6716753B1 (en) * | 2002-07-29 | 2004-04-06 | Taiwan Semiconductor Manufacturing Company | Method for forming a self-passivated copper interconnect structure |
US20040118699A1 (en) * | 2002-10-02 | 2004-06-24 | Applied Materials, Inc. | Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects |
US6806192B2 (en) * | 2003-01-24 | 2004-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of barrier-less integration with copper alloy |
US6943111B2 (en) * | 2003-02-10 | 2005-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier free copper interconnect by multi-layer copper seed |
JP4223511B2 (ja) | 2003-03-17 | 2009-02-12 | 日鉱金属株式会社 | 銅合金スパッタリングターゲット及びその製造方法並びに半導体素子配線 |
US7026714B2 (en) * | 2003-03-18 | 2006-04-11 | Cunningham James A | Copper interconnect systems which use conductive, metal-based cap layers |
US7842605B1 (en) | 2003-04-11 | 2010-11-30 | Novellus Systems, Inc. | Atomic layer profiling of diffusion barrier and metal seed layers |
US8298933B2 (en) * | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
US6949442B2 (en) * | 2003-05-05 | 2005-09-27 | Infineon Technologies Ag | Methods of forming MIM capacitors |
US7067407B2 (en) * | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
IL157838A (en) * | 2003-09-10 | 2013-05-30 | Yaakov Amitai | High-brightness optical device |
US7345350B2 (en) | 2003-09-23 | 2008-03-18 | Micron Technology, Inc. | Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias |
JP4478038B2 (ja) | 2004-02-27 | 2010-06-09 | 株式会社半導体理工学研究センター | 半導体装置及びその製造方法 |
JP4764606B2 (ja) * | 2004-03-04 | 2011-09-07 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US20050210455A1 (en) * | 2004-03-18 | 2005-09-22 | International Business Machines Corporation | Method for generating an executable workflow code from an unstructured cyclic process model |
JP2006019708A (ja) * | 2004-06-04 | 2006-01-19 | Toshiba Corp | 半導体装置の製造方法及び半導体装置 |
US20060019493A1 (en) * | 2004-07-15 | 2006-01-26 | Li Wei M | Methods of metallization for microelectronic devices utilizing metal oxide |
US7940361B2 (en) | 2004-08-31 | 2011-05-10 | Advanced Interconnect Materials, Llc | Copper alloy and liquid-crystal display device |
US20060071338A1 (en) * | 2004-09-30 | 2006-04-06 | International Business Machines Corporation | Homogeneous Copper Interconnects for BEOL |
KR100640962B1 (ko) | 2004-12-30 | 2006-11-02 | 동부일렉트로닉스 주식회사 | 반도체 소자의 배선 형성방법 |
US7666773B2 (en) * | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7544398B1 (en) | 2005-04-26 | 2009-06-09 | The Regents Of The Univesity Of California | Controlled nano-doping of ultra thin films |
US7285496B2 (en) * | 2005-04-28 | 2007-10-23 | Intel Corporation | Hardening of copper to improve copper CMP performance |
JP4589835B2 (ja) | 2005-07-13 | 2010-12-01 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法及び半導体装置 |
US20070014919A1 (en) * | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
JP4523535B2 (ja) * | 2005-08-30 | 2010-08-11 | 富士通株式会社 | 半導体装置の製造方法 |
JP4282646B2 (ja) | 2005-09-09 | 2009-06-24 | 株式会社東芝 | 半導体装置の製造方法 |
JP5076482B2 (ja) | 2006-01-20 | 2012-11-21 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
KR101379015B1 (ko) | 2006-02-15 | 2014-03-28 | 한국에이에스엠지니텍 주식회사 | 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층 |
US7749361B2 (en) * | 2006-06-02 | 2010-07-06 | Applied Materials, Inc. | Multi-component doping of copper seed layer |
US7645696B1 (en) | 2006-06-22 | 2010-01-12 | Novellus Systems, Inc. | Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer |
US7855147B1 (en) | 2006-06-22 | 2010-12-21 | Novellus Systems, Inc. | Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer |
JP2008021807A (ja) * | 2006-07-12 | 2008-01-31 | Kobe Steel Ltd | 半導体配線の製造方法 |
CN101490811B (zh) * | 2006-07-14 | 2011-06-08 | 株式会社爱发科 | 半导体装置的制造方法 |
US7435484B2 (en) * | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
US7473634B2 (en) * | 2006-09-28 | 2009-01-06 | Tokyo Electron Limited | Method for integrated substrate processing in copper metallization |
JP2010506408A (ja) * | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | 金属シリケート膜のald |
US20080124484A1 (en) * | 2006-11-08 | 2008-05-29 | Asm Japan K.K. | Method of forming ru film and metal wiring structure |
US7510634B1 (en) | 2006-11-10 | 2009-03-31 | Novellus Systems, Inc. | Apparatus and methods for deposition and/or etch selectivity |
US7682966B1 (en) | 2007-02-01 | 2010-03-23 | Novellus Systems, Inc. | Multistep method of depositing metal seed layers |
US7922880B1 (en) | 2007-05-24 | 2011-04-12 | Novellus Systems, Inc. | Method and apparatus for increasing local plasma density in magnetically confined plasma |
US7897516B1 (en) | 2007-05-24 | 2011-03-01 | Novellus Systems, Inc. | Use of ultra-high magnetic fields in resputter and plasma etching |
US20090035946A1 (en) * | 2007-07-31 | 2009-02-05 | Asm International N.V. | In situ deposition of different metal-containing films using cyclopentadienyl metal precursors |
US7659197B1 (en) | 2007-09-21 | 2010-02-09 | Novellus Systems, Inc. | Selective resputtering of metal seed layers |
US20090087339A1 (en) * | 2007-09-28 | 2009-04-02 | Asm Japan K.K. | METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US7655564B2 (en) * | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
KR20090067505A (ko) * | 2007-12-21 | 2009-06-25 | 에이에스엠지니텍코리아 주식회사 | 루테늄막 증착 방법 |
US7651943B2 (en) * | 2008-02-18 | 2010-01-26 | Taiwan Semicondcutor Manufacturing Company, Ltd. | Forming diffusion barriers by annealing copper alloy layers |
US7799674B2 (en) * | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
JP4936560B2 (ja) * | 2008-03-11 | 2012-05-23 | 三菱マテリアル株式会社 | 密着性に優れた銅合金複合膜の成膜方法およびこの成膜方法で使用するCa含有銅合金ターゲット |
JP4423379B2 (ja) | 2008-03-25 | 2010-03-03 | 合同会社先端配線材料研究所 | 銅配線、半導体装置および銅配線の形成方法 |
US8545936B2 (en) | 2008-03-28 | 2013-10-01 | Asm International N.V. | Methods for forming carbon nanotubes |
KR101433899B1 (ko) * | 2008-04-03 | 2014-08-29 | 삼성전자주식회사 | 기판 식각부의 금속층 형성방법 및 이를 이용하여 형성된금속층을 갖는 기판 및 구조물 |
JP2008252103A (ja) * | 2008-04-21 | 2008-10-16 | Nec Electronics Corp | 半導体装置及び半導体装置の製造方法 |
CN101971349B (zh) | 2008-04-25 | 2013-03-27 | 株式会社爱发科 | 薄膜晶体管的制造方法、薄膜晶体管 |
US8383525B2 (en) * | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US8017523B1 (en) | 2008-05-16 | 2011-09-13 | Novellus Systems, Inc. | Deposition of doped copper seed layers having improved reliability |
JP5420328B2 (ja) | 2008-08-01 | 2014-02-19 | 三菱マテリアル株式会社 | フラットパネルディスプレイ用配線膜形成用スパッタリングターゲット |
JP2010065317A (ja) * | 2008-08-14 | 2010-03-25 | Kobe Steel Ltd | 表示装置およびこれに用いるCu合金膜 |
US8084104B2 (en) * | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US7927942B2 (en) | 2008-12-19 | 2011-04-19 | Asm International N.V. | Selective silicide process |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
JP4441658B1 (ja) | 2008-12-19 | 2010-03-31 | 国立大学法人東北大学 | 銅配線形成方法、銅配線および半導体装置 |
US20110020546A1 (en) * | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
JP5548396B2 (ja) * | 2009-06-12 | 2014-07-16 | 三菱マテリアル株式会社 | 薄膜トランジスタ用配線層構造及びその製造方法 |
US8329569B2 (en) * | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
WO2011024770A1 (ja) * | 2009-08-26 | 2011-03-03 | 株式会社アルバック | 半導体装置、半導体装置を有する液晶表示装置、半導体装置の製造方法 |
KR101175970B1 (ko) * | 2009-08-28 | 2012-08-22 | 가부시키가이샤 알박 | 배선층, 반도체 장치, 액정 표시 장치 |
JP4913267B2 (ja) * | 2009-10-27 | 2012-04-11 | 株式会社アルバック | 配線層、半導体装置、半導体装置を有する液晶表示装置 |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8492274B2 (en) | 2011-11-07 | 2013-07-23 | International Business Machines Corporation | Metal alloy cap integration |
US8497202B1 (en) | 2012-02-21 | 2013-07-30 | International Business Machines Corporation | Interconnect structures and methods of manufacturing of interconnect structures |
US8736055B2 (en) | 2012-03-01 | 2014-05-27 | Lam Research Corporation | Methods and layers for metallization |
US8691688B2 (en) | 2012-06-18 | 2014-04-08 | United Microelectronics Corp. | Method of manufacturing semiconductor structure |
US20150206798A1 (en) * | 2014-01-17 | 2015-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect Structure And Method of Forming |
JP2015195282A (ja) * | 2014-03-31 | 2015-11-05 | 東京エレクトロン株式会社 | 成膜方法、半導体製造方法及び半導体装置 |
CN105088151A (zh) * | 2014-04-15 | 2015-11-25 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 衬底上的孔隙沉积工艺及半导体加工设备 |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US10163695B1 (en) * | 2017-06-27 | 2018-12-25 | Lam Research Corporation | Self-forming barrier process |
US11195748B2 (en) | 2017-09-27 | 2021-12-07 | Invensas Corporation | Interconnect structures and methods for forming same |
JP7171216B2 (ja) * | 2018-04-10 | 2022-11-15 | 東洋鋼鈑株式会社 | 圧延接合体及び圧延接合体の製造方法 |
TWI727332B (zh) * | 2018-06-01 | 2021-05-11 | 日商島津製作所股份有限公司 | 導電膜形成方法、以及配線基板的製造方法 |
US11610839B2 (en) | 2019-10-29 | 2023-03-21 | Globalfoundries U.S. Inc. | Dummy fill structures |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5130274A (en) | 1991-04-05 | 1992-07-14 | International Business Machines Corporation | Copper alloy metallurgies for VLSI interconnection structures |
US5288665A (en) * | 1992-08-12 | 1994-02-22 | Applied Materials, Inc. | Process for forming low resistance aluminum plug in via electrically connected to overlying patterned metal layer for integrated circuit structures |
DE69333966T2 (de) | 1992-08-27 | 2006-09-14 | Kabushiki Kaisha Toshiba, Kawasaki | Elektronisches Bauteil mit metallischen Leiterbahnen und Verfahren zu seiner Herstellung |
US5391517A (en) * | 1993-09-13 | 1995-02-21 | Motorola Inc. | Process for forming copper interconnect structure |
US5747360A (en) | 1993-09-17 | 1998-05-05 | Applied Materials, Inc. | Method of metalizing a semiconductor wafer |
US5654232A (en) * | 1994-08-24 | 1997-08-05 | Intel Corporation | Wetting layer sidewalls to promote copper reflow into grooves |
US5892282A (en) * | 1995-05-31 | 1999-04-06 | Texas Instruments Incorporated | Barrier-less plug structure |
US5770519A (en) * | 1995-06-05 | 1998-06-23 | Advanced Micro Devices, Inc. | Copper reservoir for reducing electromigration effects associated with a conductive via in a semiconductor device |
US5744376A (en) * | 1996-04-08 | 1998-04-28 | Chartered Semiconductor Manufacturing Pte, Ltd | Method of manufacturing copper interconnect with top barrier layer |
JP3516558B2 (ja) * | 1996-08-26 | 2004-04-05 | シャープ株式会社 | 半導体装置の製造方法 |
US5770517A (en) * | 1997-03-21 | 1998-06-23 | Advanced Micro Devices, Inc. | Semiconductor fabrication employing copper plug formation within a contact area |
US5969422A (en) * | 1997-05-15 | 1999-10-19 | Advanced Micro Devices, Inc. | Plated copper interconnect structure |
-
1997
- 1997-06-18 US US08/878,143 patent/US6387805B2/en not_active Expired - Lifetime
-
1998
- 1998-05-07 TW TW092133884A patent/TW200406851A/zh unknown
- 1998-05-07 TW TW87107083A patent/TW574393B/zh not_active IP Right Cessation
- 1998-05-08 KR KR1019980016379A patent/KR19980086841A/ko not_active Application Discontinuation
- 1998-05-08 JP JP10164090A patent/JPH1154458A/ja not_active Withdrawn
- 1998-05-08 EP EP98108387A patent/EP0877421A3/en not_active Withdrawn
- 1998-05-14 US US09/079,107 patent/US6066892A/en not_active Expired - Lifetime
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100361207B1 (ko) * | 1999-12-29 | 2002-11-18 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 형성방법 |
KR100919378B1 (ko) * | 2002-10-28 | 2009-09-25 | 매그나칩 반도체 유한회사 | 반도체 소자의 금속 배선 및 이의 형성 방법 |
KR101135418B1 (ko) * | 2006-12-28 | 2012-04-17 | 가부시키가이샤 알박 | 배선막의 형성 방법, 트랜지스터, 및 전자 장치 |
KR101389187B1 (ko) * | 2007-04-13 | 2014-05-26 | 도쿄엘렉트론가부시키가이샤 | 에칭 방법, 에칭 시스템 및 에칭 장치 |
US9105586B2 (en) | 2007-04-13 | 2015-08-11 | Tokyo Electron Limited | Etching of silicon oxide film |
WO2010077875A2 (en) * | 2008-12-31 | 2010-07-08 | Applied Materials, Inc. | Method for improving electromigration lifetime of copper interconnection by extended post anneal |
WO2010077875A3 (en) * | 2008-12-31 | 2010-09-10 | Applied Materials, Inc. | Method for improving electromigration lifetime of copper interconnection by extended post anneal |
Also Published As
Publication number | Publication date |
---|---|
US6387805B2 (en) | 2002-05-14 |
US20010034126A1 (en) | 2001-10-25 |
JPH1154458A (ja) | 1999-02-26 |
US6066892A (en) | 2000-05-23 |
EP0877421A3 (en) | 1998-12-30 |
EP0877421A2 (en) | 1998-11-11 |
TW200406851A (en) | 2004-05-01 |
TW574393B (en) | 2004-02-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR19980086841A (ko) | 구리 합금 금속 및 시드층의 스퍼터 디포지션 및 어닐링 | |
US6160315A (en) | Copper alloy via structure | |
US6207222B1 (en) | Dual damascene metallization | |
KR100339179B1 (ko) | 상호 접속 구조 및 그 형성 방법 | |
US6977220B2 (en) | Copper alloys for interconnections having improved electromigration characteristics and methods of making same | |
JP3955386B2 (ja) | 半導体装置及びその製造方法 | |
KR0180330B1 (ko) | 반도체장치의 배선구조 및 그 제조방법 | |
US5939788A (en) | Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper | |
US6627542B1 (en) | Continuous, non-agglomerated adhesion of a seed layer to a barrier layer | |
JPH0917790A (ja) | 電気的相互接続用薄膜金属バリア層 | |
KR100501460B1 (ko) | 이온화된금속으로부터증착된접착층을사용한반도체구조물내의홀충전방법 | |
US6380075B1 (en) | Method for forming an open-bottom liner for a conductor in an electronic structure and device formed | |
KR100365061B1 (ko) | 반도체소자및반도체소자제조방법 | |
JP4886165B2 (ja) | デポジション処理によって、相互接続領域を選択的に合金にする方法 | |
JP3488586B2 (ja) | 半導体装置の製造方法 | |
JP2000195948A (ja) | 半導体装置およびその製造方法 | |
KR100919378B1 (ko) | 반도체 소자의 금속 배선 및 이의 형성 방법 | |
KR20030000828A (ko) | 반도체 소자의 금속 배선 형성방법 | |
KR20030059456A (ko) | 반도체 소자의 금속배선 형성방법 | |
KR20020091308A (ko) | 반도체 소자의 구리배선 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WITN | Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid |