KR102224424B1 - 가스 인젝터 및 종형 열처리 장치 - Google Patents

가스 인젝터 및 종형 열처리 장치 Download PDF

Info

Publication number
KR102224424B1
KR102224424B1 KR1020170145735A KR20170145735A KR102224424B1 KR 102224424 B1 KR102224424 B1 KR 102224424B1 KR 1020170145735 A KR1020170145735 A KR 1020170145735A KR 20170145735 A KR20170145735 A KR 20170145735A KR 102224424 B1 KR102224424 B1 KR 102224424B1
Authority
KR
South Korea
Prior art keywords
gas
injector
film
injector body
heat treatment
Prior art date
Application number
KR1020170145735A
Other languages
English (en)
Other versions
KR20180054447A (ko
Inventor
도시유키 이케우치
히로미 시마
게이스케 스즈키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180054447A publication Critical patent/KR20180054447A/ko
Application granted granted Critical
Publication of KR102224424B1 publication Critical patent/KR102224424B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 노즐의 대형화를 억제하면서, 종형 열처리 장치에 적합한 성막 가스의 공급을 행하는 것이 가능한 가스 인젝터 등을 제공한다. 상하 방향으로 복수의 기판(W)을 선반 형상으로 배열하여 유지한 기판 유지구(2)를 사용하고, 종형의 반응 용기(1) 내에서 열처리를 행하는 종형 열처리 장치에 설치되고, 상기 반응 용기(1) 내에 성막 가스를 공급하기 위한 가스 인젝터(3)에 있어서, 통 형상의 인젝터 본체(32)는, 반응 용기(1) 내에 상하 방향으로 신장되도록 배치되고, 상기 상하 방향을 따라서, 복수의 가스 공급 구멍(31)이 형성되어 있다. 통 형상의 가스 도입관(33)은, 상기 인젝터 본체(32)와 일체가 되도록 설치되고, 성막 가스를 수용하는 하부측의 가스 수입구와, 인젝터 본체(32)의 내부 공간(321)에 성막 가스를 도입하는 가스 도입구(331)를 구비한다.

Description

가스 인젝터 및 종형 열처리 장치{GAS INJECTOR AND VERTICAL HEAT TREATMENT APPARATUS}
본 발명은, 기판에의 성막을 행하는 종형 열처리 장치에 성막 가스를 공급하는 기술에 관한 것이다.
반도체 장치의 제조 공정에서, 기판인 반도체 웨이퍼(이하, 「웨이퍼」라고 함)의 표면에 성막을 행하는 방법으로서, 금속 원료 등을 포함하는 원료 가스와, 이 원료 가스와 반응하는 반응 가스를 교대로 공급하여, 웨이퍼의 표면에 금속막을 형성하는 원자층 퇴적(Atomic Layer Deposition, ALD)법이나, 상기 금속을 포함하는 화합물의 막을 형성하는 분자층 퇴적(Molecular Layer Deposition, MLD)법이 알려져 있다. 이하의 설명에서는, 이들 ALD법 및 MLD법을 총칭해서 「ALD법」이라고 칭한다.
또한, 상술한 ALD법을 실시하는 장치의 일종으로서, 종형의 반응 용기 내에서 복수매의 웨이퍼에 대하여 일괄적으로 성막을 행하는 뱃치식의 종형 열처리 장치가 알려져 있다. 종형 열처리 장치에서는, 복수의 웨이퍼를 상하 방향으로 선반 형상으로 배열하여 유지한 기판 유지구를 반응 용기 내에 반입해서 성막이 행하여진다.
이 때문에, 종형 열처리 장치를 사용하는 경우에는, 웨이퍼의 면간에서 균일한 막 두께 분포를 갖는 막을 성막하는 관점에서, 기판 유지구에 유지된 각 웨이퍼에 대하여, 가능한 한 균일하게 원료 가스나 반응 가스(이하, 이들을 총칭해서 「성막 가스」라고 칭하기도 함)를 공급하는 것이 바람직하다.
여기서 특허문헌 1에는, 처리 용기 내의 하부측에서부터 상부측까지 신장된 후, U자 형상으로 절첩되고, 그 선단부가 처리 용기 내의 하부측까지 신장된 노즐을 구비하는 종형 열처리가 기재되어 있다. 노즐 내에서는, 상류측일수록 가스의 압력이 높으므로, 상류측에 형성된 가스 분사 구멍의 쪽이, 분사되는 가스의 유량이 더 많아진다. 그래서, 노즐을 U자로 절첩함으로써, 절첩 전의 노즐 부분에 형성된 가스 분사 구멍의 열로부터 공급되는 가스의 유량의 분포와, 절첩 후의 노즐 부분에 형성된 가스 분사 구멍의 열로부터 공급되는 가스의 유량의 분포를 조합하여, 노즐 전체로서 상하 방향으로 균등한 가스의 공급을 도모하고 있다.
한편, U자 형상으로 절첩된 노즐은 대형화되기 쉬워져, 미리 정해진 크기의 처리 용기 내에 배치할 수 없을 우려도 있다. 이때, 노즐을 배치할 목적만으로, 처리 용기를 포함하는 종형 열처리 장치 전체를 대형화하는 것은 현실적이지 않다.
또한 특허문헌 2에는, 퍼지 가스가 공급되는 중심관과 처리 가스가 공급되는 외주관을 구비한 이중관 구조의 노즐이 기재되어 있지만, 기판 유지구에 유지된 각 웨이퍼에 균일하게 처리 가스를 공급하는 기술은 아니다.
일본 특허 공개 제2008-78452호 공보: 청구항 5, 단락 0030 내지 0031, 도 1 일본 특허 공개 제2008-205151호 공보: 청구항 1, 단락 0033 내지 0037, 도 4
본 발명은 이러한 사정 하에 이루어진 것이며, 그 목적은, 노즐의 대형화를 억제하면서, 종형 열처리 장치에 적합한 성막 가스의 공급을 행하는 것이 가능한 가스 인젝터, 및 이 인젝터를 구비한 종형 열처리 장치를 제공하는 데 있다.
본 발명의 가스 인젝터는, 상하 방향으로 복수의 기판을 선반 형상으로 배열하여 유지한 기판 유지구를, 주위에 가열부가 배치된 종형의 반응 용기 내에 반입해서 상기 복수의 기판에 대하여 열처리를 행하는 종형 열처리 장치에 설치되고, 상기 반응 용기 내에, 상기 기판에의 성막용의 성막 가스를 공급하기 위한 가스 인젝터로서,
상기 반응 용기 내에 상하 방향으로 신장되도록 배치되고, 상기 상하 방향을 따라, 복수의 가스 공급 구멍이 형성된 통 형상의 인젝터 본체와,
상기 상하 방향을 따라 상기 인젝터 본체와 일체가 되도록 설치되고, 상기 성막 가스를 수용하는 가스 수입구와, 상기 인젝터 본체의 내부 공간에 연통하며, 당해 내부 공간에 상기 성막 가스를 도입하는 가스 도입구를 구비한 통 형상의 가스 도입관을 구비한 것을 특징으로 한다.
또한, 본 발명의 종형 열처리 장치는, 상기의 가스 인젝터를 구비한다.
본 발명은, 반응 용기 내에 상하 방향으로 신장되도록 배치되는 인젝터 본체의 내부 공간에, 당해 인젝터 본체와 일체로 설치된 가스 도입관을 통해서 성막 가스를 도입하므로, 인젝터의 대형화를 억제하면서, 종형 열처리 장치에 적합한 성막 가스의 공급을 행할 수 있다.
도 1은 실시 형태에 관한 가스 인젝터를 구비한 종형 열처리 장치의 종단 측면도이다.
도 2는 상기 가스 인젝터의 종단 측면도이다.
도 3은 종래형의 가스 인젝터의 설명도이다.
도 4는 U자 형상의 절첩 가스 인젝터의 설명도이다.
도 5는 상기 인젝터 본체 내의 내압을 변화시키는 방법에 관한 설명도이다.
도 6은 상기 가스 인젝터의 변형예를 도시하는 설명도이다.
도 7은 상기 가스 인젝터의 다른 변형예를 도시하는 설명도이다.
도 8은 실시예 및 비교예에 관한 실험 결과를 도시하는 설명도이다.
우선, 도 1을 참조하면서 본 발명의 실시 형태에 관한 가스 공급 구멍(31)을 구비한 종형 열처리 장치의 구성예에 대해서 설명한다. 본 예에서는, 원료 가스인 HCD(Hexachlorodisilane) 가스와, 반응 가스인 O 라디칼 및 OH 라디칼을 포함하는 활성종을 반응시켜, 웨이퍼(W)에 대하여 ALD법에 의해 SiO2막을 형성하는 종형 열처리 장치에 대해서 설명한다.
종형 열처리 장치는, 상단측이 막히고, 하단측이 개구된 석영제의 원통형의 반응관(11)을 구비하고 있다. 반응관(11)의 하방에는, 당해 반응관(11)의 개구부와 기밀하게 접속된 스테인리스제의 통 형상 부재로 이루어지는 매니폴드(5)가 설치되고, 매니폴드(5)의 하단에는, 플랜지가 형성되어 있다. 이들 반응관(11) 및 매니폴드(5)는, 본 예의 반응 용기(1)를 구성한다.
반응관(11)의 주위에는, 당해 반응관(11)의 측면을 전체 둘레에 걸쳐서 외방측으로부터 둘러싸도록, 저항 발열체로 이루어지는 가열부(12)가 설치되어 있다. 가열부(12)는, 반응관(11)의 주위의 공간을 상방측으로부터 덮는 도시하지 않은 단열체에 유지되어 있다.
매니폴드(5)의 하면측의 개구는, 석영제의 원판 형상의 덮개(56)에 의해 막힌다. 덮개(56)는, 보트 엘리베이터(51) 상에 설치되고, 이 보트 엘리베이터(51)를 승강시킴으로써 덮개(56)가 상기 매니폴드(5)의 개구를 막은 상태와, 개방한 상태를 전환할 수 있다. 또한, 덮개(56) 및 보트 엘리베이터(51)에는, 이들을 관통하는 회전축(53)이 설치되고, 회전축(53)은, 덮개(56)의 상면으로부터 상방측을 향해서 신장되어 있다. 회전축(53)은, 보트 엘리베이터(51)의 하방에 설치된 구동부(52)에 의해 연직축을 중심으로 회전할 수 있다.
회전축(53)의 상단에는, 반응관(11)의 측주벽에 의해 둘러싸이는 위치에, 기판 유지구인 웨이퍼 보트(2)가 설치되어 있다. 웨이퍼 보트(2)는, 웨이퍼(W)의 직경(300mm)보다도 큰 직경을 갖는 원형의 석영판으로 구성된 천장판(21)과, 링 형상의 저판(22)을 구비하고 있다. 천장판(21)과 저판(22)은, 상하로 대향하도록 배치되고, 그 주연부에서의 반주의 영역에 걸쳐서 등간격으로 배치된 복수개의 지주(23)에 의해 서로 연결되어 있다. 천장판(21)과 저판(22)과의 사이에는, 웨이퍼(W)가 1매씩 적재되는 복수의 적재부(도시하지 않음)가 상하 방향으로 간격을 두고 선반 형상으로 설치되어 있다.
또한, 덮개(56)와 웨이퍼 보트(2)와의 사이에는, 단열 유닛(50)이 설치되어 있다. 단열 유닛(50)은, 예를 들어 석영판으로 이루어지는 원환 형상의 복수의 단열 핀(54)을 구비하고, 이들 단열 핀(54)은, 덮개(56)의 상면에 둘레 방향으로 간격을 두고 설치된 복수의 지주(55)에 의해 선반 형상으로 지지되어 있다. 원환 형상의 단열 핀(54)의 내측에는, 이미 설명한 회전축(53)이 삽입되고, 당해 회전축(53)의 측주면을 외측으로부터 둘러싸도록 단열 유닛(50)이 배치된다.
웨이퍼 보트(2) 및 단열 유닛(50)은, 이미 설명한 보트 엘리베이터(51)에 의해 덮개(56)와 함께 승강하여, 웨이퍼 보트(2)를 반응관(11)의 내측에 위치시킨 처리 위치(도 1에 도시하는 위치)와, 반응 용기(1) 내로부터 웨이퍼 보트(2)를 빼내어, 도시하지 않은 수수 기구와 웨이퍼 보트(2)와의 사이에서 웨이퍼(W)의 수수를 행하는 수수 위치와의 사이를 이동한다.
처리 위치에 배치된 웨이퍼 보트(2)와, 반응관(11)의 측주벽과의 사이에는, 반응관(11) 내에, HCD 가스를 공급하기 위한 가스 인젝터(3)와, 각각, 산소 가스 또는 수소 가스를 공급하기 위한 가스 인젝터(4)(산소 가스 인젝터(4a), 수소 가스 인젝터(4b))가 배치되어 있다.
이들 가스 인젝터(3, 4) 중, HCD 가스용 가스 인젝터(3)는, 본 발명의 실시 형태에 관한 구성을 구비하는 점에 대해서는, 도 2를 참조하면서 후단에서 상세하게 설명한다.
한편, 도 1, 3에 도시한 바와 같이, 산소 가스용 및 수소 가스용 가스 인젝터(4)(4a, 4b)는, 말단이 막힌 가늘고 긴 통 형상의 석영관의 측면에, 길이 방향을 따라 복수의 가스 공급 구멍(41)을 서로 간격을 두고 형성한, 종래 구조의 것이 채용되어 있다. 가스 인젝터(4)는, 가스 공급 구멍(41)의 형성면을 웨이퍼 보트(2)측을 향해서, 상하 방향으로 신장되도록 반응관(11) 내에 배치된다. 반응관(11) 내에 가스 인젝터(4)를 배치한 상태에서, 복수의 가스 공급 구멍(41)은, 웨이퍼 보트(2)에서의 최하단의 웨이퍼(W)의 적재 위치에서부터, 최상단의 적재 위치까지의 영역에 걸쳐, 거의 등간격으로 형성되어 있다.
또한, 도 1에서는, 도시의 편의상, 가스 인젝터(4a, 4b)는, 반응관(11)의 횡단면을 보았을 때, 직경 방향으로 어긋난 위치에 배치되어 있도록 도시되어 있다. 단, 실제로는 이들 가스 인젝터(4a, 4b)는, 웨이퍼 보트(2)측에서 볼 때, 반응관(11)의 내벽 면을 따르도록 나란히 배치해도 된다.
각 가스 인젝터(3, 4)의 하부측(기단부측)은, 매니폴드(5)측까지 신장되어, 매니폴드(5)의 측주벽면을 향해서 꺾인 후, HCD 가스나 산소 가스 및 수소 가스의 공급 라인을 구성하는 배관과 접속되어 있다. 가스 인젝터(3, 4)에서의, 가스의 공급 배관과의 접속부에 형성된 개구는, 가스 수입구에 상당한다.
이들 가스의 공급 라인은, 매니폴드(5)를 관통하여, 각각, 개폐 밸브(V11, V12, V13)나 유량 조절부(M11, M12, M13)를 통해서 HCD 가스 공급원(71), 산소 가스 공급원(72) 및 수소 가스 공급원(73)에 접속되어 있다. HCD 가스 공급원(71), 개폐 밸브(V11), 유량 조절부(M11) 및 HCD 가스의 공급 라인은, 본 실시 형태의 성막 가스 공급부에 상당한다.
또한 이들 가스의 공급 라인에 대해서는, 반응관(11) 내로부터 HCD 가스나 산소 가스, 수소 가스를 배출하기 위해서, 질소 가스 등의 불활성 가스를 퍼지 가스로서 공급하는 도시하지 않은 퍼지 가스 공급원을 설치해도 된다.
또한 매니폴드(5)에는 배기관(61)이 접속되고, 당해 배기관(61)의 하류측에는, 배기 유량 조절용 압력 조정부(예를 들어 버터플라이 밸브)(62)를 통해서 진공 배기부(63)가 접속되어 있다. 배기관(61)이 매니폴드(5)에 접속되어 있음으로써, 가스 인젝터(3, 4)로부터 반응관(11) 내에 공급된 성막 가스(HCD 가스, 산소 가스, 수소 가스)는, 반응관(11) 내를 하방측을 향해서 흐른 후, 외부로 배기되게 된다. 배기관(61), 압력 조정부(62) 및 진공 배기부(63)는, 본 예의 배기부에 상당한다.
이 밖에, 종형 열처리 장치에는 제어부(8)가 설치되어 있다. 제어부(8)는, 예를 들어 도시하지 않은 CPU(Central Processing Unit)와 기억부를 구비한 컴퓨터로 이루어지고, 기억부에는 종형 열처리 장치에 의해 실시되는 성막 처리(열처리), 즉, 처리 대상의 웨이퍼(W)를 유지한 웨이퍼 보트(2)를 처리 위치로 이동시켜 반응관(11) 내에 반입한 후, 미리 정해진 순서나 유량으로 원료 가스나 반응 가스를 전환하면서 공급하여, 성막 처리를 실행하는 제어에 관한 스텝(명령)군이 짜여진 프로그램이 기록되어 있다. 이 프로그램은, 예를 들어 하드 디스크, 콤팩트 디스크, 마그네트 옵티컬 디스크, 메모리 카드 등의 기억 매체에 저장되고, 그로부터 컴퓨터에 인스톨된다.
이상으로 설명한 구성을 구비한 종형 열처리 장치에 있어서, HCD 가스의 공급을 행하는 가스 인젝터(3)는, 상하 방향으로 신장되도록 반응관(11) 내에 배치되어, 종형 열처리 장치에 적합한 특별한 구조를 구비하고 있다.
이하, 도 2를 참조하면서 당해 가스 인젝터(3)의 구체적인 구성에 대해서 설명한다.
가스 인젝터(3)의 구성을 상세하게 설명하기 전에, 도 3에 도시하는 종래 형의 가스 인젝터(3A)를 사용해서 HCD 가스의 공급을 행한 경우의 문제점에 대해 설명한다.
가늘고 긴 통 형상의 가스 인젝터(3A) 내를 흐르는 가스의 압력은, 흐름 방향의 하류측(가스 인젝터(3A)의 선단측)보다도 상류측(가스 인젝터(3A)의 기단측)이 더 높아진다. 그 결과, 각 가스 공급 구멍(41)으로부터 공급되는 가스는, 기단측에 위치하는 가스 공급 구멍(41)일수록 유량이 많고, 선단측에 위치하는 가스 공급 구멍(41)을 향해서 점차 유량이 적어지는 유량 분포가 형성된다.
또한, 도 2 내지 도 8에 나타내는 각종 가스 인젝터(3, 3A, 3a 내지 3e, 4(4a, 4b), 4c)의 도면에는, 가스 공급 구멍(31, 41)으로부터 공급되는 가스의 유량에 따라, 가스의 흐름을 나타내는 화살표의 길이를 변화시키고 있다. 이들 도면에서는, 파선의 화살표가 길수록, 가스의 유량이 많은 것을 나타내고 있지만, 각 화살표의 길이는, 가스의 유량을 엄밀하게 나타내는 것이 아니다.
상술한 유량 분포를 갖는 가스 인젝터(3A)를 사용해서 HCD 가스의 공급을 행하면, 웨이퍼 보트(2)의 하부측에 유지된 웨이퍼(W)에 대해서는, 고농도의 HCD 가스가 공급되고, 상부측에 유지된 웨이퍼(W)에 대해서는, 하부측과 비교해서 저농도의 HCD 가스가 공급되게 된다. 그 결과, 하부측에 유지된 웨이퍼(W)에 대하여 비교적 많은 HCD가 흡착되고, 상부측에 유지된 웨이퍼(W)에 있어서는 HCD의 흡착량이 적어져, 웨이퍼(W)의 면간에서 HCD의 흡착량이 상이한 분포가 형성된다.
따라서, 웨이퍼(W)의 표면에 흡착된 HCD를 O 라디칼 및 OH 라디칼과 반응시켜 얻어진 SiO2의 각 막에서도 웨이퍼(W)의 면간에서 두께가 상이하므로, 상이한 두께의 SiO2막이 적층되어, 면간에서 상이한 막 두께 분포를 갖는 SiO2막이 성막되어버린다(후술하는 도 8의 (b)에 도시하는 비교예 참조).
특히, 반응관(11) 내의 성막 가스를 하방측을 향해서 배기하는 구성의 종형 열처리 장치는, 웨이퍼 보트(2)의 하부 영역에 공급된 비교적 높은 농도의 HCD 가스가 반응관(11) 내의 상부측의 공간을 향해서 충분히 확산하지 못한 사이에 배기되어버린다. 이 때문에, 웨이퍼(W)의 면간의 막 두께 분포의 변동이, 보다 현저해질 우려도 있다.
상술한 문제를 개선하기 위해서, 도 4에 도시한 바와 같이, U자 형상으로 절첩된 형상의 가스 인젝터(4c)를 사용하는 방법도 생각할 수 있다. 당해 가스 인젝터(4c)는, 반응관(11)의 상부측의 공간에, 보다 높은 농도의 HCD 가스를 공급할 수 있다. 이때, 반응관(11) 내의 HCD 가스가 하방으로 배기되면, 상부측에 공급된 고농도의 HCD 가스가 하부측의 공간 내를 확산하면서 배기되므로, 웨이퍼 보트(2)의 하부측에 유지된 웨이퍼(W)에도 고농도의 HCD 가스가 공급되어, 면간의 막 두께 분포의 변동을 개선할 수 있을 가능성도 있다.
그러나, U자 형상으로 절첩된 가스 인젝터(4c)는, 대형화되기 쉽기 때문에, 반응관(11) 내에 배치하는 것이 어려운 경우도 있다. 또한, HCD 가스의 압력이 비교적 높고, 또한 흐름의 방향이 변화하는 가스 인젝터(4c)의 절첩 부분의 내벽면에는, 열분해 등에 수반하여 Si막 등이 형성되기 쉬워진다. 이 Si막이 가스 인젝터(4c)의 내벽면으로부터 박리되면, 파티클이 되어 반응관(11) 내에 유입되고, 웨이퍼(W)의 오염원이 되어버릴 우려도 있다.
도 2는 실시 형태에 관한 가스 인젝터(3)를 나타내고 있다. 도 3을 사용해서 설명한 종래의 가스 인젝터(3A)와 마찬가지로, 본 예의 가스 인젝터(3)는, 말단이 막힌 가늘고 긴 통 형상의 석영관(예를 들어 종래의 가스 인젝터(3A)와 공통의 관경을 가짐)의 측면에, 복수의 가스 공급 구멍(31)이 서로 간격을 두고 형성되어 있다. 이하, 당해 가스 인젝터(3)에 있어서, 가스 공급 구멍(31)이 형성된 상부측의 영역을 인젝터 본체(32)라고 칭한다. 본 예의 가스 인젝터(3)는, 상기 인젝터 본체(32) 내에, 인젝터 본체(32)보다도 관경이 가는, 석영제의 가스 도입관(33)을 삽입한 구조로 되어 있다.
가스 도입관(33)의 상단면에는, 가스 도입구(331)가 형성되고, 가스 도입관(33) 내의 공간은 인젝터 본체(32)의 내부 공간(321)과 연통하고 있다. 한편, 가스 도입관(33)의 하단부에서는, 인젝터 본체(32)의 측주벽과 가스 도입관(33)의 외주면과의 사이의 간극이, 원환 형상의 구획 부재(332)에 의해 막히고, 또한 가스 도입관(33)의 하단면은 개구되어 있다.
그 결과, 가스 인젝터(3)에서의 구획 부재(332)의 배치 위치보다도 하방측의 부분(HCD 가스의 흐름 방향에서 볼 때 상류측 부분)은, 가스 도입관(33)의 기단측 관부(33b)를 구성하고 있다고 할 수 있다. 이에 반해, 인젝터 본체(32)에 삽입된 가스 도입관(33)의 영역은, 가스 도입관(33)의 직경 축소 관부(33a)를 구성하고 있다.
이와 같이, 인젝터 본체(32)와 가스 도입관(33)은, 구획 부재(332)를 개재하여, 상하 방향을 따라서 일체가 되어 가스 인젝터(3)를 구성하고 있다. 이 가스 인젝터(3) 내에는, HCD 가스 공급원(71)측으로부터 공급된 HCD 가스가, 가스 도입관(33) 내를 통과해서 인젝터 본체(32)의 내부 공간(321)에 유입되는 유로가 형성되어 있다고 할 수 있다.
또한 상기 내부 공간(321) 내에서 가스 도입관(33)은, 인젝터 본체(32)의 중심축에 대하여, 가스 도입관(33)의 중심축이 가스 공급 구멍(31)의 형성면으로부터 멀어지는 방향으로 어긋난 위치에 배치되어 있다. 그 결과, 가스 공급 구멍(31)이 형성되어 있는 방향의 인젝터 본체(32)의 내주면과 가스 도입관(33)의 외주면과의 사이의 간극이 넓어져, 내부 공간(321) 내에 유입된 HCD 가스가 각 가스 공급 구멍(31)에 도달하기 쉽게 되어 있다.
이하, 상술한 가스 인젝터(3)를 구비한 종형 열처리 장치의 작용에 대해서 설명한다. 먼저, 수수 위치까지 웨이퍼 보트(2)를 강하시켜, 도시하지 않은 외부의 기판 반송 기구에 의해 웨이퍼 보트(2)의 모든 적재부에 웨이퍼(W)를 적재한다. 또한, 가열부(12)에 의해, 반응관(1) 내에 웨이퍼(W)를 반입했을 때, 각 웨이퍼(W)가 미리 설정한 온도가 되도록 가열을 개시한다.
그 후, 보트 엘리베이터(52)를 상승시켜, 웨이퍼 보트(2)를 반응 용기(1) 내의 처리 위치에 배치함과 함께, 매니폴드(5)의 개구를 덮개(56)에 의해 밀폐한다. 계속해서 반응 용기(1)의 내압이 미리 설정된 진공도가 되도록, 진공 배기부(63)에 의해 진공화를 행함과 함께, 회전축(53)에 의해 웨이퍼 보트(2)를 미리 설정된 회전 속도로 회전시킨다.
이렇게 해서, ALD법에 의한 성막을 행하는 준비가 되었으면, 미리 설정된 유량으로 HCD 가스 공급원(71)으로부터 HCD 가스의 공급을 개시한다. 도 2에 파선으로 나타낸 바와 같이, 공급 라인으로부터 가스 인젝터(3)의 기단부(가스 수입구)에 공급된 HCD 가스는, 상방측을 향해서 흐른 후, 관경이 가는 가스 도입관(33) 내에 유입된다. 그리고, 당해 가스 도입관(33) 내를 통과한 HCD 가스는, 가스 도입구(331)로부터 인젝터 본체(32)의 내부 공간(321)에 도입되고, 또한 당해 내부 공간(321)에 확산한 후, 각 가스 공급 구멍(31)으로부터 반응관(11)에 공급된다.
여기서, 도 2에 도시한 바와 같이, 본 예의 가스 인젝터(3)에 있어서 가스 도입구(331)는, 가장 상방측에 형성된 가스 공급 구멍(31)보다도 더 높은 위치에 개구되어 있으므로, 가스 도입구(331)로부터 도입되어 내부 공간(321) 내를 확산하는 HCD 가스는, 가스 인젝터(3)의 선단측에서 압력이 높고, 기단측에서 압력이 낮아진다. 그 결과, 도 4에 도시하는 가스 인젝터(4c)의 경우와 마찬가지로, 반응관(11)의 상부측의 공간에, 보다 높은 농도의 HCD 가스를 공급하고, 하부측의 공간에는 상부측보다도 낮은 농도의 HCD 가스를 공급하는 것이 가능하게 된다.
또한, 가스 도입관(33)(직경 축소 관부(33a))은, 인젝터 본체(32)보다도 관경이 가늘기 때문에, 유로가 좁은 조임부를 구성하여, 당해 가스 도입관(33) 내를 흐를 때 HCD 가스의 압력이 저하된다. 또한, 가스 도입구(331)는, 막힌 상태의 인젝터 본체(32)의 말단면을 향해서 개구되어 있으므로, 내부 공간(321) 내에 도입된 후의 HCD 가스는 크게 방향이 바뀐 후, 내부 공간(321) 내를 확산해 간다. 이 흐름 변화 방향의 변화 시에도 HCD 가스의 압력이 저하된다. 이 관점에서, 인젝터 본체(32)의 내부 공간(321)은, HCD 가스가 흐르는 기세를 약하게 하는, 완충 공간의 역할을 하고 있다고 할 수 있다.
흐르는 기세가 약해진 HCD 가스가 내부 공간(321) 내를 확산할 때는, 확산의 영향이 커진다. 이 때문에, 가스 도입구(331)에 가까운, 가스 인젝터(3)의 선단측의 HCD 가스의 압력과, 가스 도입구(331)로부터 먼, 기단측의 HCD 가스의 압력과의 압력차가 작아진다. 그 결과, 도 3에 도시하는 종래의 가스 인젝터(3A)와 비교하여, 인젝터 본체(32)의 상하 방향을 따라서 형성된 복수의 가스 공급 구멍(31)으로부터, 보다 균일하게 HCD 가스를 공급할 수 있다.
이상에서 설명한 바와 같이 본 예의 가스 인젝터(3)는, 도 4에 도시하는 U자 형상의 가스 인젝터(4c)와 마찬가지로, 반응관(11)의 상부측의 공간과 하부측의 공간을 비교했을 때, 상부측의 공간에 고농도의 HCD 가스를 공급할 수 있다. 또한, 당해 가스 인젝터(3)는, 인젝터 본체(32)의 내부 공간(321)이 완충 공간의 역할을 함으로써, U자 형상의 가스 인젝터(4c)와 비교하여, 각 가스 공급 구멍(31)으로부터 보다 균일하게 HCD 가스를 공급할 수 있다.
또한, 본 예의 가스 인젝터(3)는, 내부 공간(321)의 HCD 가스의 압력을 낮게 하여, HCD의 분자간 거리를 크게 함으로써, HCD 가스의 열분해가 발생하기 어려워지므로, 인젝터 본체(32) 내에서의 Si막의 형성을 억제하여, 파티클의 발생을 억제하는 효과도 있다.
가스 인젝터(3)의 각 가스 공급 구멍(31)으로부터 공급된 HCD 가스는, 반응관(11) 내에 확산하여, 회전축(53)을 중심으로 회전하는 웨이퍼 보트(2)에 유지된 각 웨이퍼(W)에 도달해서 그 표면에 흡착된다. 이때, 반응관(11)(반응 용기(1)) 내는 하방측을 향해서 배기되고 있으므로, 상부측의 공간 내의 비교적, 고농도의 HCD 가스가 하부측의 공간 내를 확산하면서 배기되어 간다. 그 결과, 반응관(11)의 하부측에 유지된 웨이퍼(W)에 대해서도, 상부측으로부터 유입된 HCD 가스가 공급되어, 웨이퍼(W)에 흡착되는 HCD 가스의 양을 웨이퍼 보트(2)의 높이 방향을 따라서 균일화할 수 있다.
이렇게 해서, 각 웨이퍼(W)에 소정량의 HCD 가스를 흡착시키는데 필요한 시간이 경과하면, HCD 가스 공급원(71)으로부터의 HCD 가스의 공급을 정지함과 함께, 필요에 따라 퍼지 가스를 공급하여, 반응관(11) 내에 잔존하고 있는 HCD 가스를 배출한다.
그 후, 산소 가스 공급원(72) 및 수소 가스 공급원(73)으로부터 반응관(11) 내에 미리 설정된 유량의 산소 가스 및 수소 가스를 공급한다. 저압 고온 분위기로 되어 있는 반응관(11) 내에 공급된 산소 가스 및 수소 가스로부터는 O 라디칼 및 OH 라디칼을 포함하는 활성종을 생성한다. 이들 O 라디칼 및 OH 라디칼이, 웨이퍼(W)에 흡착된 HCD와 반응함으로써, SiO2막이 형성된다.
상술한 반응에 있어서, 예를 들어 웨이퍼 보트(2)의 각 단에 유지된 웨이퍼(W)에 공급되는 O 라디칼 및 OH 라디칼의 농도의 분포가 웨이퍼(W)의 면간의 막 두께 분포의 변동에 미치는 영향이 작은 경우에는, 도 3에 도시한 단관 구조의 가스 인젝터(3a)를 사용해서 O 라디칼 및 OH 라디칼의 공급을 행해도 된다. 바꾸어 말하면, 가령 웨이퍼(W)의 면간에서 균일하게 HCD를 흡착시켰을 때, 각 웨이퍼(W)에 공급되는 O 라디칼 및 OH 라디칼의 농도가 상이해도, HCD를 반응시키는 데 충분한 양의 O 라디칼 및 OH 라디칼을 공급하면, 면간에서 균일한 막 두께 분포의 SiO2막을 형성하는 것이 가능한 경우에는, 단관 구조의 가스 인젝터(3A)를 채용하면 충분하다고 할 수 있다.
이 점, 산소 가스 인젝터(4a), 수소 가스 인젝터(4b)의 각 가스 공급 구멍(41)으로부터의 산소 가스 또는 수소 가스의 유량의 분포가 웨이퍼(W)의 면간의 막 두께 분포의 변동에 미치는 영향이 큰 경우에는, 산소 가스나 수소 가스(반응 가스)의 공급에 있어서도 도 2에 도시하는 완충 공간형의 가스 인젝터(3)를 이용해도 된다. 이 경우에는, 산소 가스 공급원(72), 수소 가스 공급원(73)이나 개폐 밸브(V12, V13), 유량 조절부(M12, M13), 산소 가스나 수소 가스의 공급 라인은, 본 실시 형태의 성막 가스 공급부에 상당하게 된다.
그리고, 각 웨이퍼(W)에 흡착된 HCD 가스를 반응시키는 데 필요한 소정의 시간이 경과하면, 산소 가스 공급원(72), 수소 가스 공급원(73)으로부터의 산소 가스 및 수소 가스의 공급을 정지하고, 필요에 따라 퍼지 가스를 공급하여, 반응관(11) 내에 잔존하고 있는 산소 가스 및 수소 가스를 배출한다. 그 후, HCD 가스 공급원(71)으로부터의 HCD 가스의 공급을 재개해서 웨이퍼(W)에의 HCD의 흡착을 행한다.
이렇게 해서, HCD 가스의 공급과 산소 가스 및 수소 가스의 공급을 포함하는 사이클을 반복해서 실시하고, 당해 사이클을 미리 설정된 횟수만큼 실시하면, 최종 사이클에서의 산소 가스 및 수소 가스의 공급 정지 후, 반응관(11) 내를 퍼지한다. 그리고, 반응 용기(1) 내의 압력을 대기압으로 복귀시키고 나서 웨이퍼 보트(2)를 강하시켜 성막이 행하여진 웨이퍼(W)를 반출하고, 일련의 동작을 종료한다.
본 실시 형태에 관한 종형 열처리 장치에 의하면 이하의 효과가 있다. 반응 용기(1) 내에 상하 방향으로 신장되도록 가스 인젝터(3)를 배치하고, 당해 가스 인젝터(3)를 구성하는 인젝터 본체(32)의 내부 공간(321)에, 당해 인젝터 본체(32)와 일체로 가스 도입관(33)을 설치하고, 이 가스 도입관(33)을 통해서 HCD 가스의 도입을 행한다. 그 결과, 가스 인젝터(3)의 대형화를 억제하면서, (1) 가스 인젝터(3)의 선단측과 기단측에 형성된 가스 공급 구멍(31)으로부터의 HCD 가스(성막 가스: 원료 가스나 반응 가스)의 공급 유량을 비교했을 때, 기단측의 가스 공급 구멍(31)으로부터의 공급 유량이 상대적으로 작아지는 유량 분포를 형성하고, 또한, (2) 이들 선단측과 기단측과의 사이의 공급 유량의 차를 작게 억제할 수 있다.
여기서, 인젝터 본체(32) 내에 가스 도입관(33)을 삽입한 가스 인젝터(3)에 있어서, HCD 가스 공급원(71)측으로부터 공급되는 성막 가스의 유량이 일정한 경우, 내부 공간(321)의 용적이 작아질수록, 내부 공간(321) 내의 평균 압력은 높아진다. 그리고, 내부 공간(321)의 용적을 크게 하면, 상기 평균 압력(이하, 도 5의 설명에서 「내압」이라고도 함)을 낮게 할 수 있다.
그래서, 도 5의 (a) 내지 (c)에 도시한 바와 같이, 인젝터 본체(32) 내에 삽입된 가스 도입관(33)의 길이를 바꾸면, 내부 공간(321)의 용적이 변화하여, 내부 공간(321) 내의 내압을 변화시킬 수 있다. 도 5에 도시하는 예에서는, 인젝터 본체(32) 내에 삽입된 가스 도입관(33)의 길이가 최장인 가스 인젝터(3)에서 내부 공간(321) 내의 내압이 가장 높아지고(도 5의 (a)), 가스 도입관(33)의 길이가 최단인 가스 인젝터(3b)에서 상기 내압이 가장 낮아진다(도 5의 (c)).
종형 열처리 장치에 있어서, 도 5의 (a) 내지 (c)의 어느 가스 인젝터(3, 3a, 3b)를 채용할지에 대해서는, 반응관(11)측에서 요구되는 성막 가스의 공급 유량의 분포나, 인젝터 본체(32) 내에 Si막이 형성되기 어려워지는 내압 조건 등을 사전에 파악하여, 적절한 것을 선택하면 된다.
여기서 도 5의 (b), (c)에 도시하는 가스 인젝터(3a, 3b)와 같이, 가스 도입관(33)을 짧게 하면, 가스 도입구(331)의 개구 위치는, 가장 상방측에 형성된 가스 공급 구멍(31)보다도 하방측에 위치하게 된다. 이 경우에도, 가스 도입관(33)의 상단면에 가스 도입구(331)를 형성하면, 내부 공간(321) 내에 도입된 성막 가스는, 가스 도입관(33)으로부터의 도입 방향을 따라서 인젝터 본체(32) 내를 상방측을 향해서 흐른 후, 인젝터 본체(32)의 상단면에 도달해서 흐름 방향을 바꾸는 흐름을 형성한다. 그 결과, 가스 도입구(331)보다도 상방측에 배치되어 있는 가스 공급 구멍(31)측의 영역에 대해서도, 비교적 높은 압력의 성막 가스를 공급하여, 선단측에 형성된 가스 공급 구멍(31)으로부터의 성막 가스의 공급 유량이 상대적으로 커지는 유량 분포를 형성할 수 있다.
이렇게 가스 도입관(33)의 길이에 따라 내부 공간(321)의 용적을 변화시키는 방법을 채용하는 경우에는, 가스 도입관(33)의 선단의 가스 도입구(331)의 높이 위치는, 인젝터 본체(32)에 형성된 복수의 가스 공급 구멍(31) 중, 가장 하방측에 형성된 가스 공급 구멍(31)보다도 높은 위치에 설정한다. 보다 바람직하게는, 가스 공급 구멍(31)의 형성 범위의 2분의 1의 높이 위치보다도 상방측에 가스 도입구(331)가 배치되도록, 가스 도입관(33)의 길이를 결정하면 된다.
또한, 인젝터 본체(32)와 가스 도입관(33)을 일체로 설치하는 구성은, 관경이 가는 가스 도입관(33)을 인젝터 본체(32) 내에 삽입하는 경우에 한정되지 않는다. 예를 들어 도 6에 도시하는 가스 도입관(33)과 같이, 기단측에서부터 선단측까지의 관경이 변화하지 않는 직관 형상의 가스 도입관(33)에 대하여, 당해 가스 도입관(33)의 상부측의 영역을, 관경이 비교적 큰 인젝터 본체(32)에 의해 덮어도 된다.
또한, 도 6에 도시된 가스 도입관(33)은, 가스 도입관(33)의 측면에, 당해 가스 도입관(33)의 관경보다도 작은 개구 크기의 가스 도입구(331a)를 형성한 예를 나타내고 있다. 이 예에서는 직경 축소 관부(33a) 대신에 가스 도입구(331a)가 조임부로서 기능하여, 내부 공간(321)에 성막 가스가 도입될 때의 압력을 낮추고 있다.
또한, 가스 도입관(33)의 측면에 가스 도입구(331a)를 형성하는 경우에는, 가스 도입구(331a)로부터 가스 공급 구멍(31)에의 성막 가스의 토출을 방지할 필요가 있다. 그래서 도 6에 도시한 바와 같이, 가스 도입구(331a)는, 가장 상방측에 형성된 가스 공급 구멍(31)보다도 높은 위치에 배치하거나, 가스 공급 구멍(31)의 형성면과는 상이한 방향을 향해서 성막 가스가 도입되는 방향에 배치하는 것이 바람직하다.
나아가, 인젝터 본체(32)와 가스 도입관(33)을 일체로 설치하는 구성은, 인젝터 본체(32) 내에 가스 도입관(33)을 삽입하는 경우에 한하지 않고, 예를 들어 도 7의 (a), (b)에 도시하는 가스 인젝터(3d, 3e)와 같이, 인젝터 본체(32)와 가스 도입관(33)을 인접하여 나란히 일체로 하는 구성으로 해도 된다.
도 7의 (a)의 가스 인젝터(3d)는, 인젝터 본체(32)와 가스 도입관(33)의 측벽면끼리를 접속하고, 이 접속면의 상방측의 위치에 조임부인 가스 도입구(331a)를 형성한 예이다.
또한, 도 7의 (b)의 가스 인젝터(3e)는, 인젝터 본체(32)에, 가스 도입관(33)의 측면의 일부 및 상면의 일부를 삽입하는 절결을 형성하고, 당해 절결 내에 가스 도입관(33)을 삽입해서 상기 가스 도입관(33)의 측면의 일부 및 상면의 일부를 덮고, 인젝터 본체(32)에 의해 덮인 가스 도입관(33)의 상면에, 조임부인 가스 도입구(331)를 형성한 예이다.
이들 예에서도 인젝터 본체(32)와 가스 도입관(33)이 일체로 설치되어 있기 때문에, 도 4에 도시한 U자형의 가스 인젝터(4c)와 비교하여, 가스 인젝터(3d, 3e)의 사이즈를 콤팩트하게 할 수 있다.
또한 본 예의 가스 인젝터(3, 3a 내지 3e)를 구비한 종형 열처리 장치에서 사용하는 성막 가스의 종류나 성막되는 막의 종류는, 상술한 예(원료 가스인 HCD 가스와 반응 가스인 산소 가스 및 수소 가스를 사용한 SiO2막(금속 산화막)의 성막)에 한정되지 않는다.
예를 들어, 금속 원료를 포함하는 원료 가스와, 질소를 포함하는 반응 가스와의 반응에 의한 금속 질화물의 성막, 금속 원료를 포함하는 원료 가스와, 당해 원료 가스를 분해, 환원시키는 가스와의 반응에 의한 금속막의 성막 등을, ALD법에 의해 실시해도 된다.
[실시예]
(실험)
도 1을 사용해서 나타낸 것과 동등한 하방 배기 방식의 종형 열처리 장치를 사용하여, 웨이퍼 보트(2)에 유지된 웨이퍼(W)에 대하여 ALD법에 의해 SiO2막의 성막을 행하고, 각 웨이퍼(W)의 막 두께 분포를 측정하였다.
A. 실험 조건
(실시예)
도 2에 도시하는 실시 형태에 관한 가스 인젝터(3)를 사용해서 HCD 가스의 공급을 행하는 한편, 도 3에 도시하는 종래 형의 가스 인젝터(3A)를 사용해서 산소 가스의 공급을 행하여, ALD법에 의해 SiO2막을 성막하였다. HCD 가스의 공급 시에는, HCD 가스 공급원(71)으로부터 유량 200sccm의 HCD 가스를 6초간 공급하고, 산소 가스 및 수소 가스의 공급 시에는, 산소 가스 공급원(72), 수소 가스 공급원(73)으로부터 유량 3,000sccm의 산소 가스와 1,000sccm의 수소 가스를 10초간 공급하였다. 이들 가스 공급을 포함하는 사이클을 100회 실시해서 성막을 행하였다. 반응 용기(1) 내의 압력은 40Pa, 가열부(12)에 의한 웨이퍼(W)의 가열 온도는 600℃, 회전축(53)을 중심으로 한 웨이퍼 보트(2)의 회전 속도는 2.0rpm이다. 웨이퍼(W)를 유지하는 웨이퍼 보트(2)의 최하단에서부터 셀 때 20단째, 60단째, 90단째, 130단째, 160단째의 적재 위치에 적재된 5매의 웨이퍼(W)의 막 두께 분포를 막 두께 측정기에 의해 측정하였다.
(비교예)
도 3에 도시하는 종래 형의 가스 인젝터(3A)를 사용해서 HCD 가스의 공급을 행한 점을 제외하고, 실시예와 마찬가지의 조건에서 성막, 막 두께 분포 측정을 행하였다.
B. 실험 결과
실시예, 비교예의 결과를 각각 도 8의 (a), (b)에 나타내었다. 각 도면 중에 나타낸 실선은, 웨이퍼(W)의 중심을 지나는 횡단면을 보았을 때의 SiO2막의 막 두께 분포를 모식적으로 도시하고 있다. 각 도면에서는, 막 두께 측정을 행한 웨이퍼(W) 중, 최하단의 웨이퍼(W)의 막 두께 분포를 우단에 표시하고, 순차적으로, 상단측의 웨이퍼(W)의 막 두께 분포가 좌측에 표시되도록, 막 두께 분포의 측정 결과를 배열하고 있다.
도 8의 (a)에 나타낸 실시예의 결과에 의하면, 어느 적재 위치에서 성막된 SiO2막에 대해서든, 웨이퍼(W)의 중앙측에서 막 두께가 두껍고, 주연측에서 얇아지는 위로 볼록한 막 두께 분포가 확인되었다. 또한, 막 두께가 최대가 되는 웨이퍼(W)의 중앙 위치에 주목하여, 각 웨이퍼(W)의 막 두께의 변화를 확인한 결과, 웨이퍼 보트(2)의 상단측에 유지된 웨이퍼(W)가, 하단측에 유지된 웨이퍼(W)보다도 더 두꺼운 SiO2막이 형성되는 것을 확인할 수 있었다. 이 막 두께의 변화는, 가스 인젝터(3)로부터의 HCD 가스의 토출 유량의 분포에 대응하고 있다. 한편, 막 두께 분포의 측정을 행한 5매의 웨이퍼(W)간에서, 막 두께의 최댓값의 변동은, 최대 2배 이내의 범위에 들어갔다.
이에 반해 도 8의 (b)에 나타낸 비교예의 결과에서도, 모든 웨이퍼(W)에 있어서, 중앙측에서 막 두께가 두껍고, 주연측에서 얇아지는 위로 볼록한 막 두께 분포를 갖는 SiO2막이 성막되었다. 그리고, 웨이퍼(W)의 막 두께(웨이퍼(W)의 중앙 위치에서의 막 두께의 최댓값)는, 웨이퍼 보트(2)의 하단측에 유지된 웨이퍼(W)가, 상단측에 유지된 웨이퍼(W)보다도 더 두꺼운 SiO2막이 형성되어 있는 것이 확인되었다. 이 막 두께의 변화는, 종래 형의 가스 인젝터(3A)로부터의 HCD 가스의 토출 유량의 분포에 대응하고 있다. 나아가, 막 두께 분포의 측정을 행한 5매의 웨이퍼(W)간에서, 막 두께의 최댓값의 변동은, 2배 이상으로 확대되었다. 이상의 실험 결과에 따르면, 실시 형태에 관한 가스 인젝터(3)를 이용해서 HCD 가스를 공급함으로써, 종래의 가스 인젝터(3A)를 사용하는 경우와 비교하여, 웨이퍼 보트(2)에 유지된 웨이퍼(W)에 성막되는 막의 막 두께 분포를 면간에서 균일하게 할 수 있다고 평가할 수 있다.
W : 웨이퍼 1 : 반응 용기
12 : 가열부 2 : 웨이퍼 보트
3, 3a 내지 3e : 가스 인젝터 31 : 가스 공급 구멍
32 : 인젝터 본체 321 : 내부 공간
33 : 가스 도입관 331 : 가스 도입구
4, 4a, 4b : 가스 인젝터 63 : 진공 배기부
71 : HCD 가스 공급원 72 : 산소 가스 공급원
73 : 수소 가스 공급원 8 : 제어부

Claims (8)

  1. 상하 방향으로 복수의 기판을 선반 형상으로 배열하여 유지한 기판 유지구를, 주위에 가열부가 배치된 종형의 반응 용기 내에 반입해서 상기 복수의 기판에 대하여 열처리를 행하는 종형 열처리 장치에 설치되고, 상기 반응 용기 내에, 상기 기판에의 성막용 성막 가스를 공급하기 위한 가스 인젝터로서,
    상기 반응 용기 내에 상하 방향으로 신장되도록 배치되고, 상기 상하 방향을 따라, 복수의 가스 공급 구멍이 형성된 가스 공급 구멍의 형성면을 구비하는 통 형상의 인젝터 본체와,
    상기 상하 방향을 따라서 상기 인젝터 본체와 일체가 되도록 설치되는 통 형상의 가스 도입관을 포함하고,
    상기 통 형상의 가스 도입관은,
    상기 인젝터 본체의 내부 공간에 성막 가스를 도입하도록 구성되며, 상기 인젝터 본체의 직경보다 작은 직경을 가지고, 상기 인젝터 본체의 내부 공간에 배치되는 직경 축소 관부,
    상기 인젝터 본체의 내주면과 상기 직경 축소 관부의 하단부의 외주면 사이의 간극을 막는 원환 형상의 구획 부재,
    상기 직경 축소 관부의 직경보다 큰 직경을 갖고 상기 원환 형상의 구획 부재 아래에 배치되는 기단측 관부,
    상기 기단측 관부에 형성되고, 상기 성막 가스를 수용하는 가스 수입구,
    상기 직경 축소 관부에 형성되고, 상기 인젝터 본체의 내부 공간에 연통하며, 당해 내부 공간에 상기 성막 가스를 도입하는 가스 도입구를 포함하고,
    상기 통 형상의 인젝터 본체의 내부 공간의 중심축에 대하여, 상기 통 형상의 가스 도입관의 직경 축소 관부의 중심축이, 상기 가스 공급 구멍의 형성면으로부터 멀어지는 방향으로 어긋난 위치에 배치되어 있는 가스 인젝터.
  2. 제1항에 있어서,
    상기 가스 도입관은, 상기 내부 공간에 삽입된 상태로 되어 있음으로써, 상기 인젝터 본체와 일체가 되어 있는 가스 인젝터.
  3. 제2항에 있어서,
    상기 가스 도입구는, 상기 내부 공간에 삽입된 상기 가스 도입관의 상단면에 개구되어 있는 가스 인젝터.
  4. 제1항에 있어서,
    상기 가스 도입구가 형성되어 있는 높이 위치는, 상기 복수의 가스 공급 구멍 중, 가장 하방측에 형성된 상기 가스 공급 구멍보다도 높은 위치인 가스 인젝터.
  5. 삭제
  6. 제1항 내지 제4항 중 어느 한 항에 기재된 가스 인젝터를 포함하는 종형 열처리 장치.
  7. 제6항에 있어서,
    상기 반응 용기에는, 상기 가스 인젝터로부터 상기 반응 용기 내에 공급된 상기 성막 가스가, 당해 반응 용기 내를 하방측을 향해서 흐른 후, 외부로 배기되는 위치에 배기부가 더 설치되어 있는 종형 열처리 장치.
  8. 제6항에 있어서,
    상기 가스 도입관의 상기 가스 수입구를 향하여, 상기 성막 가스를 공급하는 성막 가스 공급부를 더 포함하고, 상기 성막 가스는, 열에 의해 분해되어 상기 인젝터 본체 또는 상기 가스 도입관의 내면에 막을 형성하는 성분을 포함하는 종형 열처리 장치.
KR1020170145735A 2016-11-14 2017-11-03 가스 인젝터 및 종형 열처리 장치 KR102224424B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-221523 2016-11-14
JP2016221523A JP6737139B2 (ja) 2016-11-14 2016-11-14 ガスインジェクタ、及び縦型熱処理装置

Publications (2)

Publication Number Publication Date
KR20180054447A KR20180054447A (ko) 2018-05-24
KR102224424B1 true KR102224424B1 (ko) 2021-03-05

Family

ID=62106396

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170145735A KR102224424B1 (ko) 2016-11-14 2017-11-03 가스 인젝터 및 종형 열처리 장치

Country Status (5)

Country Link
US (1) US20180135179A1 (ko)
JP (1) JP6737139B2 (ko)
KR (1) KR102224424B1 (ko)
CN (1) CN108070847B (ko)
TW (1) TWI701737B (ko)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7023147B2 (ja) * 2018-03-13 2022-02-21 東京エレクトロン株式会社 断熱構造体及び縦型熱処理装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008792XA (en) * 2018-03-23 2020-10-29 Kokusai Electric Corp Substrate processing apparatus, method of manufacturing semiconductor device and program
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102559937B1 (ko) * 2018-09-12 2023-07-27 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 보지부, 반도체 장치의 제조 방법 및 프로그램
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP7109331B2 (ja) 2018-10-02 2022-07-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ko) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7340170B2 (ja) * 2019-06-25 2023-09-07 東京エレクトロン株式会社 ガス導入構造、熱処理装置及びガス供給方法
CN110396677A (zh) * 2019-06-26 2019-11-01 南京爱通智能科技有限公司 一种超大规模原子层沉积设备的快速加热方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102256105B1 (ko) * 2019-12-13 2021-05-27 주식회사 금강쿼츠 반도체 제조에 사용되는 예열용 2중관 노즐
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP7325343B2 (ja) 2020-01-08 2023-08-14 東京エレクトロン株式会社 ガス供給構造及び基板処理装置
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220143222A (ko) 2021-04-15 2022-10-25 삼성전자주식회사 박막 증착 장치 및 박막 증착 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113755823B (zh) * 2021-09-07 2023-10-13 北京北方华创微电子装备有限公司 半导体热处理设备的气体喷射装置及半导体热处理设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102306A (ja) 1999-09-17 2001-04-13 Samsung Electronics Co Ltd 化学蒸着用チューブ
JP2004363142A (ja) * 2003-06-02 2004-12-24 Sumitomo Mitsubishi Silicon Corp 縦型熱処理炉及び該熱処理炉のガス導入方法
KR100802232B1 (ko) * 2002-04-05 2008-02-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH046825A (ja) * 1990-04-24 1992-01-10 Nec Corp 半導体成長装置
JP3541846B2 (ja) * 1992-05-22 2004-07-14 松下電器産業株式会社 半導体製造装置
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
US7132103B2 (en) * 2003-08-01 2006-11-07 Enhan Technology Holdings International Co., Ltd. Effects of sporoderm-broken germination activated ganoderma spores on treatment of spinal cord injury
JP4899744B2 (ja) 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
JP4879041B2 (ja) 2007-02-20 2012-02-15 株式会社日立国際電気 基板処理装置
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR101867364B1 (ko) * 2012-01-03 2018-06-15 삼성전자주식회사 배치 타입 반도체 장치
JP6113626B2 (ja) * 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102306A (ja) 1999-09-17 2001-04-13 Samsung Electronics Co Ltd 化学蒸着用チューブ
KR100802232B1 (ko) * 2002-04-05 2008-02-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
JP2004363142A (ja) * 2003-06-02 2004-12-24 Sumitomo Mitsubishi Silicon Corp 縦型熱処理炉及び該熱処理炉のガス導入方法

Also Published As

Publication number Publication date
JP6737139B2 (ja) 2020-08-05
JP2018081956A (ja) 2018-05-24
TWI701737B (zh) 2020-08-11
KR20180054447A (ko) 2018-05-24
CN108070847A (zh) 2018-05-25
US20180135179A1 (en) 2018-05-17
TW201834062A (zh) 2018-09-16
CN108070847B (zh) 2021-05-07

Similar Documents

Publication Publication Date Title
KR102224424B1 (ko) 가스 인젝터 및 종형 열처리 장치
KR101645775B1 (ko) 성막 방법 및 성막 장치
JP5287592B2 (ja) 成膜装置
JP5327147B2 (ja) プラズマ処理装置
TWI602942B (zh) 成膜方法及成膜裝置
US20120199067A1 (en) Film-forming apparatus
US9263269B2 (en) Reaction tube, substrate processing apparatus and method of manufacturing semiconductor device
CN109671611B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
CN103088319A (zh) 成膜装置和成膜方法
WO2007043478A1 (ja) 基板処理装置及び基板処理方法
US11915927B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
TWI557269B (zh) 成膜方法
US20110309562A1 (en) Support structure and processing apparatus
KR101905242B1 (ko) 성막 장치
JP2007067119A (ja) 半導体製造装置
US20190309420A1 (en) Substrate Processing Apparatus and Substrate Processing Method
JP2006286716A (ja) 半導体デバイスの製造方法
JP6512063B2 (ja) 成膜装置
CN111952147A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP3960987B2 (ja) 反応容器
US20220307137A1 (en) Reaction tube, substrate processing apparatus and method of manufacturing semiconductor device
JP5527106B2 (ja) 真空処理装置
JP7079340B2 (ja) 半導体装置の製造方法、基板処理装置、及びプログラム
JP2010123752A (ja) 基板処理装置
JP6680190B2 (ja) 成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant