TWI701737B - 氣體噴射器及立式熱處理裝置 - Google Patents

氣體噴射器及立式熱處理裝置 Download PDF

Info

Publication number
TWI701737B
TWI701737B TW106138554A TW106138554A TWI701737B TW I701737 B TWI701737 B TW I701737B TW 106138554 A TW106138554 A TW 106138554A TW 106138554 A TW106138554 A TW 106138554A TW I701737 B TWI701737 B TW I701737B
Authority
TW
Taiwan
Prior art keywords
gas
injector
film
heat treatment
introduction pipe
Prior art date
Application number
TW106138554A
Other languages
English (en)
Other versions
TW201834062A (zh
Inventor
池內俊之
島裕巳
鈴木啓介
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201834062A publication Critical patent/TW201834062A/zh
Application granted granted Critical
Publication of TWI701737B publication Critical patent/TWI701737B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

[課題]提供氣體噴射器等,可抑制噴嘴之大型化,同時適於在立式熱處理裝置進行成膜氣體之供給。[解決手段] 本發明之氣體噴射器3,設於立式熱處理裝置,該立式熱處理裝置係使用在上下方向上棚架狀地排列複數片基板W並加以保持的基板保持具2,而在立式之反應容器1內進行熱處理;用以向該反應容器1內供給成膜氣體的該氣體噴射器3內,有筒狀的噴射器本體32,其配置成在反應容器1內於上下方向上延伸,並沿著該上下方向而形成有複數個氣體供給孔31。筒狀的氣體導入管33,則係與該噴射器本體32設置成一體,並具備用以接收成膜氣體的下部側之氣體接收口、以及對噴射器本體32的內部空間321導入成膜氣體的氣體導入口331。

Description

氣體噴射器及立式熱處理裝置
本發明係有關於將成膜氣體供給至對基板進行成膜之立式熱處理裝置的技術。
於半導體裝置的製程,在用作基板的半導體晶圓(以下稱為「晶圓」)之表面進行成膜之手法,已知有原子層沉積(Atomic Layer Deposition,ALD)法,係交互供給含有金屬原料等等之原料氣體、以及與此原料氣體進行反應之反應氣體, 而在晶圓表面形成金屬膜;或是分子層沉積(Molecular Layer Deposition,MLD)法,係形成包含前述金屬之化合物的膜層。在以下的說明,會將這些ALD法及MLD法都以「ALD法」作為全稱來稱呼。
再者,作為實施上述ALD法之裝置的一種,已知有一種批次式的立式熱處理裝置,係在立式的反應容器內,對複數片晶圓一併進行成膜。在立式熱處理裝置,係將基板保持具搬入反應容器內而進行成膜;該基板保持具係使複數片晶圓,在上下方向上棚架狀地排列保持。因此,在使用立式熱處理裝置時,基於在晶圓的各面間形成具有均勻之膜厚分佈的膜層之觀點而言,對於保持在基板保持具的各晶圓,較佳係儘量均勻地供給原料氣體及反應氣體(以下有時會將這些總稱為「成膜氣體」)。
於此,專利文獻1記載一種立式熱處理,具有一噴嘴,在處理容器內從下部側延伸至上部側後,會U字形地折返,而其前端部再延伸至處理容器內的下部側。 由於在噴嘴內,越往上游側,氣體壓力越高,所以設在上游側的氣體噴射孔,所噴射之氣體的流量會較多。有鑑於此,透過使噴嘴U字形折返,而綜合了設在折返前之噴嘴部分的一整排氣體噴射孔所供給之氣體流量分佈、與設在折返後之噴嘴部分的一整排氣體噴射孔所供給之氣體流量分佈,以謀求噴嘴全體在上下方向上能有均等的氣體供給。
另一方面,U字形折返之噴嘴容易大型化,而有可能無法設置在已有原先決定之大小的處理容器內。此時不太可能只為了配置噴嘴這個目的,就使包括處理容器在內的立式熱處理裝置全體都大型化。
又,於專利文獻2,則記載有一種雙重管構造的噴嘴,其具備供給吹洗(purge)氣體之中心管、以及供給處理氣體之外周管;但並非對於基板保持具所保持之各晶圓均勻地供給處理氣體之相關技術。 [習知技術文獻] [專利文獻]
[專利文獻1]日本特開2008-78452號公報:申請專利範圍第5項、段落0030~ 0031、圖1 [專利文獻2]日本特開2008-205151號公報:申請專利範圍第1項、段落0033~ 0037、圖4
[發明所欲解決的問題]
本發明係有鑑於此原委而研發者,其目的在於提供一種氣體噴射器及具備該氣體噴射器之立式熱處理裝置,可抑制噴嘴之大型化,同時適於在立式熱處理裝置進行成膜氣體之供給。 [解決問題之技術手段]
本發明之氣體噴射器,設於立式熱處理裝置,該立式熱處理裝置係將基板保持具搬入周圍配置有加熱部的立式之反應容器內以進行熱處理,該基板保持具係在上下方向上棚架狀地排列複數片基板而加以保持,該氣體噴射器係用以向該反應容器內,供給對基板成膜用的成膜氣體;該氣體噴射器包括:噴射器本體,係筒狀,配置成在該反應容器內於上下方向上延伸,並沿著該上下方向而形成有複數個氣體供給孔;以及氣體導入管,係筒狀,沿著該上下方向而與該噴射器本體一體設置,並具備用以接收該成膜氣體的下部側之氣體接收口、以及連通至該噴射器本體的內部空間而對該內部空間導入成膜氣體的氣體導入口。[發明之效果]
由於本發明係對於在反應容器內於上下方向上延伸配置之噴射器本體的內部空間,透過與該噴射器本體一體設置之氣體導入管而導入成膜氣體,因此可以抑制噴射器之大型化,同時適於在立式熱處理裝置進行成膜氣體之供給。
首先參照圖1,說明具備本發明實施形態之氣體供給孔31的立式熱處理裝置之構成例。於本例中,係針對下述這種立式熱處理裝置進行說明:使作為原料氣體之HCD(Hexachlorodisilane;六氯矽烷)氣體、與作為反應氣體之含有氧(O)自由基自及氫氧(OH)自由基的活性物種反應,而以ALD法對晶圓W形成SiO2 (二氧化矽)膜。
立式熱處理裝置具備石英製之圓筒狀的反應管11,其上端側閉塞,下端側有開口。於反應管11之下方,設有氣密性連接該反應管11之開口部的不鏽鋼製之筒狀構件所構成的歧管5,於歧管5下端,形成凸緣。這些反應管11及歧管5,就構成本例的反應容器1。
於反應管11之周圍,設有阻抗發熱體所構成的加熱部12,該加熱部12由外部側包圍該反應管11的側面整圈。加熱部12係由未圖示的隔熱體所保持,該隔熱體係由上方側包覆反應管11周圍的空間。
歧管5之底面側的開口,係以石英製之圓板形狀的蓋體56所封閉。蓋體56係設在晶舟升降機51上,藉由使此晶舟升降機51升降,蓋體56可以在遮閉前述歧管5之開口的狀態、以及在開放的狀態之間進行切換。更進一步地,在蓋體56及晶舟升降機51,設有將該兩者加以貫穿之旋轉軸53,旋轉軸53由蓋體56之頂面朝向上方側伸出。旋轉軸53可以藉由設在晶舟升降機51下方的驅動部52,而繞鉛直軸旋轉。
在旋轉軸53上端,由反應管11之側周壁所包圍的位置上,設有作為基板保持具的晶舟2。晶舟2具有頂板21及環狀的底板22,該頂板21係由圓形之石英板所構成,該石英板的直徑大於晶圓W之直徑(300mm)。頂板21與底板22係配置成上下相向,並以在其周緣部之整整半圈的區域上、等間隔配置之複數根支柱23相互連結。在頂板21與底板22之間,設有在上下方向上隔著間隔之棚架狀的複數個載置部(未圖示),而能一片一片地載置晶圓W。
再者,於蓋體56與晶舟2之間,設有隔熱單元50。隔熱單元50具備例如由石英板所構成的圓環狀之複數個隔熱鰭部54,這些隔熱鰭部54,係以複數根支柱55而棚架狀地受到支持;該複數根支柱55係在蓋體56之頂面,順著圓周方向隔著間隔設置。於圓環狀之隔熱鰭部54內側,插入已提及之旋轉軸53,並以從外部側圍繞該旋轉軸53之側周面的方式,配置有隔熱單元50。
晶舟2及隔熱單元50,係藉由已提及之晶舟升降機51而與蓋體56一同升降,以使晶舟2在「位於反應管11內側之處理位置(圖1所示之位置)、以及從反應容器1內將晶舟2拔出,而在未圖示之傳遞機構與晶舟2之間進行晶圓W之傳遞的傳遞位置」之間移動。
配置於處理位置之晶舟2、與反應管11的側周壁之間,配置有用以對反應管11內供給HCD氣體的氣體噴射器3、以及用以分別供給氧氣或氫氣的氣體噴射器4(氧氣噴射器4a、氫氣噴射器4b)。這些氣體噴射器3、4之中,HCD氣體用的氣體噴射器3,具備本發明實施形態之構成的相關重點;將會參照圖2,而在後文中詳細說明。
另一方面,如圖1、3所示,氧氣用及氫氣用的氣體噴射器4(4a、4b),係採用習知技術構造者,亦即係在末端閉塞之細長筒狀石英管的側面,沿著長邊手向,而彼此隔著間隔地形成有複數個氣體供給孔41。氣體噴射器4,係使氣體供給孔41之形成面朝向晶舟2側,並在反應管11內配置為於上下方向延伸。在反應管11內配置氣體噴射器4之狀態下,複數個氣體供給孔41係幾乎等間隔地,從晶舟2裡最下層的晶圓W載置位置,一路形成到最上層的載置位置為止之區域。又,於圖1中,為便於圖示,氣體噴射器4a、4b,在觀察反應管11之橫剖面時,係繪示成配置在直徑方向上錯開的位置。但是實際上這些氣體噴射器4a、4b,亦可配置成從晶舟2側觀察下,係沿著反應管11的內壁面排列。
各氣體噴射器3、4的下部側(基端部側)伸出至歧管5側,並朝向歧管5的側周壁面彎曲後,連接至「構成HCD氣體或氧氣及氫氣的供給管線」之配管。氣體噴射器3、4中,形成在連接著氣體供給配管之部位的開口,相當於氣體接收口。
這些氣體供給管線貫穿歧管5,並分別透過開閉閥V11、V12、V13及流量調節部M11、M12、M13而連接HCD氣體供給源71、氧氣供給源72及氫氣供給源73。HCD氣體供給源71、開閉閥V11、流量調節部M11、及HCD氣體的供給管線,相當於本實施形態的成膜氣體供給部。更進一步地,為了從反應管11內排出HCD氣體及氧氣、氫氣,亦可對這些氣體的供給管線,設置未圖示的吹洗氣體供給源,供給氮氣等等惰性氣體以作為吹洗氣體。
更進一步地,歧管5連接著排氣管61,而在該排氣管61之下游側,隔著調節排氣流量用的壓力調整部(例如蝶型閥)62,而連接著真空排氣部63。藉著使排氣管61連接至歧管5,而使氣體噴射器3、4供給至反應管11內的成膜氣體(HCD氣體、氧氣、氫氣),在反應管11內朝向下方側流動後,會排出至外部。排氣管61、壓力調整部62、及真空排氣部63,相當於本例的排氣部。
此外,於立式熱處理裝置設有控制部8。控制部8係由例如具備未圖示之CPU (Central Processing Unit;中央處理器)及儲存部的電腦所構成;於儲存部記錄著編排以下步驟(命令)群的程式,該步驟群係控制以立式熱處理裝置實施之成膜處理(熱處理),亦即將保持著作為處理對象之晶圓W的晶舟2移動至處理位置,搬入反應管11內後,以預先決定之順序及流量切換原料氣體及反應氣體而予以供給,以執行成膜處理。此程式例如儲存於硬碟、光碟、磁光碟、記憶卡等等儲存媒體,再由該儲存媒體安裝至電腦。
在具備以上所說明之構成的立式熱處理裝置,進行HCD氣體之供給的氣體噴射器3,在反應管11內係配置成於上下方向上延伸,具備適於立式熱處理裝置的特別構造。以下將參照圖2,針對該氣體噴射器3的具體構成,進行說明。
在進行氣體噴射器4之構成的詳細說明前,先針對使用圖3所示之習知技術型的氣體噴射器4來進行HCD氣體之供給的情況下,會產生的問題點,進行說明。在細長筒狀的氣體噴射器4內流動的氣體之壓力,係以流動方向之上游側(氣體噴射器4之基端側)高於下游側(氣體噴射器4之前端側)。其結果,形成如下的流量分佈:由各個氣體供給孔41所供給之氣體,係在位置越靠近基端側的氣體供給孔41,流量越大;而朝向位於前端側之氣體供給孔41,流量逐漸變小。又,於圖2~圖8所示之各種氣體噴射器3、3a~3e、4(4a、4b)、4c的圖示,係因應氣體供給孔31、41所供給之氣體流量,而變化代表氣體流動的箭頭之長度。在這些圖中,虛線的箭頭越長,就代表氣體流量越大;但各個箭頭的長度,並非用以顯示精密之氣體流量者。
若使用具有上述流量分佈之氣體噴射器4來進行HCD氣體之供給,則會對晶舟2之下部側所保持的晶圓W,供給高濃度的HCD氣體;而相較於下部側,係對上部側所保持的晶圓W,供給較低濃度的HCD氣體。其結果,對於下部側所保持的晶圓W,會吸附較多的HCD;在上部側所保持的晶圓W,HCD的吸附量會變少;而在晶圓W的各面間,形成HCD吸附量不同的分佈。
因此,由於使吸附在晶圓W表面的HCD與O自由基及OH自由基反應所得到的SiO2 之各層,也會在晶圓W的各面間有不同的厚度,所以會積層出不同厚度的SiO2 層,而在各面間形成具有不同膜厚分佈的SiO2 膜(參照後述圖8(b)所示的比較例)。
尤其,立式熱處理裝置係構成為讓反應管11內的成膜氣體朝向下方側排氣, 而會使供給至晶舟2之下部區域的較高濃度HCD氣體,在尚未朝向反應管11內的上部側空間充分擴散時,就被排出。因此,晶圓W之各面間膜厚分佈不均,會有更為顯著之虞。
為了改善上述問題,如圖4所示,可考慮以下手法:採用U字形折返形狀的氣體噴射器4c。該氣體噴射器4c,可以朝向反應管11之上部側的空間,供給更高濃度的HCD氣體。此時,一旦反應管11內的HCD氣體在下方排出,則供給至上部側之高濃度的HCD氣體,會一邊在下部側之空間內擴散,一邊被排出,而對保持在晶舟2之下部側的晶圓W,也能供給高濃度之HCD氣體,而有可能改善各面間之膜厚分佈不均。
然而,由於U字形折返之氣體噴射器4c容易大型化,因此有時難以配置在反應管11內。再者,在HCD的氣體壓力較高、並且流動方向會變化之氣體噴射器4c的折返部分之內壁面,會容易隨著熱分解等等而形成Si膜(矽膜)等等。一旦此Si膜從氣體噴射器4c之內壁面剝落,就有可能變成微粒而流入反應管11內,形成晶圓W之汙染源。
圖2繪示實施形態之氣體噴射器3。與圖3所說明過的習知之氣體噴射器4相同,本例的氣體噴射器3,係在末端閉塞之細長筒狀的石英管(例如具有與習知之氣體噴射器4共通之管徑)的側面,形成有複數個氣體供給孔31,彼此隔著間隔。以下,於該氣體噴射器3,形成有氣體供給孔31的上部側之區域,就稱為噴射器本體32。本例之氣體噴射器3之構造,係在前述噴射器本體32內,插入管徑比噴射器本體32細的石英製之氣體導入管33。
於氣體導入管33之上端面,形成有氣體導入口331;而氣體導入管33內的空間係與噴射器本體32之內部空間321連通。另一方面,於氣體導入管33之下端部, 噴射器本體32之側周壁與氣體導入管33之外周面之間的間隙,係以圓環狀的隔板構件332塞住,並且氣體導入管33之下端面係有開口。其結果可以說,比氣體噴射器3中的隔板構件332之配置位置更為下方側之部分(在HCD氣體之流動方向上觀察時,係上游側部分),構成氣體導入管33之基端側管部33b。相對於此,插入噴射器本體32之區域,構成氣體導入管33之縮徑管部33a。
如此這般地,噴射器本體32與氣體導入管33,係透過隔板構件332,而構成沿著上下方向形成一體的氣體噴射器3。在此氣體噴射器3內可以說形成了以下流路:由HCD氣體供給源71側所供給之HCD氣體,通過氣體導入管33內,而流入噴射器本體32的內部空間321。
再者,於前述內部空間321內,氣體導入管33係配置成:使氣體導入管33之中心軸,朝向遠離氣體供給孔31之形成面的方向、而偏離噴射器本體32之中心軸的位置。其結果,在偏向氣體供給孔31之形成處,噴射器本體32之內周面、與氣體導入管33之外周面之間的間隙會擴大,而流入內部空間321內的HCD氣體會更易於到達各氣體供給孔31。
以下,將針對具備上述氣體噴射器3之立式熱處理裝置的作用,進行說明。首先,使晶舟2下降至傳遞位置,並以未圖示之外部的基板搬運機構,將晶圓W載置於晶舟2之全部的載置部。再者,當晶圓W搬入至反應管1內時,就以加熱部12開始加熱,以使各晶圓W達到預先設定之溫度。
在此之後,使晶舟升降機52上昇,而將晶舟2配置於反應容器1內的處理位置,同時以蓋體56密閉歧管5之開口。接著,為了使反應容器1的內壓達到預先設定之真空度,而藉由真空排氣部63進行抽真空,同時藉由旋轉軸53使晶舟2以預先設定之轉速旋轉。
如此這般,一旦做好以ALD法進行成膜的準備,就以預先設定之流量,從HCD氣體供給源71,開始HCD氣體之供給。如圖2以虛線所示,從供給管線對氣體噴射器3之基端部(氣體接收口)所供給之HCD氣體,朝向上方側流動後,會流入管徑較細的氣體導入管33內。然後,通過了該氣體導入管33內之HCD氣體,會從氣體導入口331導入至噴射器本體32之內部空間321;更進一步地,在該內部空間321擴散後,會由各氣體供給孔31而供給至反應管11。
在此,如圖2所示,於本例之氣體噴射器3,氣體導入口331之開口位置,高於形成在最上方之氣體供給孔31,因此從氣體導入口331所導入、並在內部空間321內擴散之HCD氣體,係在氣體噴射器3之前端側,壓力較高,而在基端側,壓力較低。其結果,與圖4所示之氣體噴射器4c之情況相同,可以對於反應管11之上部側的空間,供給更高濃度的HCD氣體,並對下部側之空間供給濃度低於上部側之濃度的HCD氣體。
再者,由於氣體導入管33(縮徑管部33a),管徑比噴射器本體32還細,而構成流路狹窄的限流部,使得HCD氣體在該氣體導入管33內流動之際,壓力會降低。更進一步地,由於氣體導入口331係朝向閉塞狀態下的噴射器本體32的末端面開口,因此導入內部空間321內之後的HCD氣體,會在大幅轉變方向後,才在內部空間321內擴散。在此流動變化方向的變化之際,HCD氣體的壓力也會降低。 就此觀點而言,噴射器本體32之內部空間321,可說是具有緩衝空間的功能,來使HCD氣體流動的勢能平穩。
當流動勢能轉弱的HCD氣體在內部空間321內擴散之際,擴散的影響會變大。因此,靠近氣體導入口331、氣體噴射器3前端側的HCD氣體之壓力,與遠離氣體導入口331、基端側的HCD氣體之壓力間,壓力差變小。其結果,相較於圖3所示之習知之氣體噴射器4,沿著噴射器本體32之上下方向形成之複數個氣體供給孔31,可以更均勻地供給HCD氣體。
如以上說明,本例的氣體噴射器3,與圖4所示之U字形的氣體噴射器4c相同, 在比較反應管11之上部側的空間與下部側的空間時,可以對上部側的空間供給高濃度的HCD氣體。再者,該氣體噴射器3,藉由以噴射器本體32的內部空間321發揮緩衝空間之功能,而相較於U字形的氣體噴射器4c,能更均勻地從各氣體供給孔31供給HCD氣體。
更進一步地,由於本例的氣體噴射器3,藉由降低內部空間321的HCD氣體之壓力,並加大HCD的分子間距離,而使HCD氣體不易發生熱分解,因此抑制Si膜在噴射器本體32內的形成,而也具有抑制發生微粒的效果。
氣體噴射器3的各氣體供給孔31所供給之HCD氣體,會在反應管11內擴散,到達繞著旋轉軸53旋轉之晶舟2所保持的各晶圓W,而吸附在其表面上。此時,由於在反應管11(反應容器1)內,係朝向下方側排氣,所以上部側之較高濃度的HCD氣體,會一邊在下部側的空間內擴散,一邊排出。其結果,對於保持在反應管11之下部側的晶圓W,也會供給到從上部側所流過來的HCD氣體,而可以使吸附在晶圓W上的HCD氣體量,沿著晶舟2的高度方向平均。
如此這般,等到使各晶圓W吸附既定量之HCD氣體所需的時間經過,就停止從HCD氣體供給源71供給HCD氣體,同時視需要供給吹洗氣體,並排出殘留在反應管11內的HCD氣體。在此之後,從氧氣供給源72及氫氣供給源73,對反應管11內供給預先設定之流量的氧氣及氫氣。氧氣及氫氣供給至已成為低壓高溫氣體環境的反應管11內,會產生包含O自由基及OH自由基的活性物種。這些O自由基及OH自由基,藉由與吸附在晶圓W的HCD反應,而形成SiO2
於上述之反應,在供給至例如保持於晶舟2之各層的晶圓W的O自由基及OH自由基之濃度分佈,對晶圓W之各面間的膜厚分佈不均所造成的影響小的情況下,亦可使用如圖3所示之單管構造的氣體噴射器4,來進行O自由基及OH自由基之供給。換言之,假設已使晶圓W各面間均勻地吸附HCD,則即使供給至各晶圓W的O自由基及OH自由基的濃度不同,只要有供給足以使HCD反應之份量的O自由基及OH自由基,而可以在各面間形成膜厚分佈均勻的SiO2 膜的情況下, 那麼可以說採用單管構造的氣體噴射器4就足夠了。
此點在來自氧氣噴射器4a、氫氣噴射器4b之各氣體供給孔41的氧氣或氫氣之流量分佈,會對晶圓W之各面間的膜厚分佈不均造成大影響的情況下,則就連氧氣及氫氣(反應氣體)之供給,也可以使用圖2所示之緩衝空間型的氣體噴射器3。在此情況下,氧氣供給源72、氫氣供給源73及開閉閥V12和V13、流量調節部M12和M13、氧氣及氫氣的供給管線,就相當於本實施形態的成膜氣體供給部。
然後,等到使吸附於各晶圓W的HCD氣體反應所需的時間經過,就停止從氧氣供給源72、氫氣供給源73供給氧氣及氫氣,並視需要供給吹洗氣體,以使殘留在反應管11內的氧氣及氫氣排出。在此之後,就重啟HCD氣體供給源71的HCD氣體供給,使晶圓W進行HCD之吸附。
如此這般,反覆實施包含「HCD氣體之供給、與氧氣及氫氣之供給」在內的循環;待實施完預先設定之次數的該循環,並停止最後一輪循環的氧氣及氫氣之供給後,就對反應管11內進行吹洗。然後待反應容器1內的壓力恢復至大氣壓後,就使晶舟2下降,搬出已進行過成膜之晶圓W,結束一連串的動作。
藉由本實施形態之立式熱處理裝置,會有以下效果。在反應容器1內配置在上下方向延伸的噴射器3,並在構成該噴射器3之噴射器本體32的內部空間321,設置與該噴射器本體32一體的氣體導入管33,且透過此氣體導入管33進行HCD氣體之導入。其結果,可以抑制氣體噴射器3之大型化,同時,(1)在比較形成於氣體噴射器3之前端側、與基端側的氣體供給孔31所供給之HCD氣體(成膜氣體: 原料氣體及反應氣體)的流量時,形成基端側之氣體供給孔31所供給的流量係相對較小的流量分佈,並且,(2)可以壓低這些前端側與基端側之間的供給流量差。
在此,在噴射器本體32內插入氣體導入管33的氣體噴射器3,其中的HCD氣體供給源71側所供給之成膜氣體流量係固定之情況下,則內部空間321的容積越小,內部空間321內的平均壓力越高。然後,若加大內部空間321的容積,則可以降低前述平均壓力(以下,在圖5之說明,亦會稱為「內壓」)。
有鑑於此,如圖5(a)~(c)所示,若改變插入噴射器本體32內之氣體導入管33的長度,則內部空間321的容積會變化,可以使內部空間321內的內壓變化。於圖5所示之例,在插入噴射器本體32內之氣體導入管33的長度為最長的氣體噴射器3,內部空間321內的內壓會是最高(圖5(a));於氣體導入管33的長度為最短的氣體噴射器3b,前述內壓會是最低(圖(c))。
於立式熱處理裝置,關於要採用圖5(a)~(c)的哪一種氣體噴射器3、3a、3b,只要事先掌握反應管11所需之成膜氣體的供給流用之分佈、或是不易在噴射器本體32內形成Si膜的內壓條件等等,再選擇適當的氣體噴射器即可。
如同在此圖5(b)、(c)所示之氣體噴射器3a、3b,一旦縮短氣體導入管33,則氣體導入口331的開口位置,會變成位在比形成在最上方之氣體供給孔31更為下方之處。即使在此情況下,若是在氣體導入管33的上端面形成氣體導入口331,則導入內部空間321內的成膜氣體,在順著來自氣體導入管33之導入方向而在噴射器本體32內朝向上方側流動後,會到達噴射器本體32的上端面,而形成改變流動方向的氣流。其結果,對於配置在位於比氣體導入口331更為上方側的氣體供給孔31側之區域,也會供給較高壓力的成膜氣體,而可以形成如下的流量分佈:形成在前端側的氣體供給孔31所供給的成膜氣體流量會相對較大。
如此這般地,在採用隨著氣體導入管33之長度而改變內部空間321之容積的手法之情況下,氣體導入管33前端之氣體導入口331的高度位置,要設定成高於: 噴射器本體32上所形成之複數個氣體供給孔31之中,形成在最下方之氣體供給孔31的位置。更佳係依據使氣體導入口331配置在比氣體供給孔31之形成範圍的2分之1的高度位置更為上方側,來決定氣體導入管33之長度。
再者,噴射器本體32與氣體導入管33一體設置之構成,並不限於在噴射器本體32內插入細管徑之氣體導入管33的情形。例如,就像圖6所示之氣體導入管33般,亦可係對於從基端側至前端側皆不變化管徑之直管狀的氣體導入管33,以大管徑之噴射器本體32覆蓋該氣體導入管33之上部側的區域。
再者,圖6所示之氣體導入管33,係繪示在氣體導入管33之側面,設置開口面積小於該氣體導入管33之管徑的氣體導入口331a之例子。於本例中,係以氣體導入口331a取代縮徑管部33a,而發揮限流部的機能,來下降成膜氣體導入內部空間321之際的壓力。
又,在氣體導入管33側面設置氣體導入口331a的情況下,需要防止成膜氣體從氣體導入口331a直接穿過氣體供給孔31。有鑑於此,如圖6所示,氣體導入口331a較佳係配置在高於形成在最上方之氣體供給孔31的位置、或是導入成膜氣體的方向要配置成朝向不同於氣體供給孔31之形成面的方向。
更進一步地,一體設置噴射器本體32與氣體導入管33之構成,並不限定為在噴射器本體32內插入氣體導入管33的情形;亦可係例如圖7(a)、(b)所示之氣體噴射器3d、3e般,使噴射器本體32與氣體導入管33相鄰並排而為一體之構成。圖7(a)的氣體噴射器3d,係連接噴射器本體32與氣體導入管33雙方之側壁面,並將作為限流部的氣體導入口331a設置在此連接面之上方側的位置之例子。
再者,圖7(b)的氣體噴射器3e,係在噴射器本體32設置插入氣體導入管33之側面局部及頂面局部的缺口,對該缺口內插入氣體導入管33並覆蓋前述氣體導入管33之側面局部及頂面局部,而在以噴射器本體32覆蓋之氣體導入管33的頂面,設置作為限流部的氣體導入口331之例子。在這些例子也同樣地,由於噴射器本體32與氣體導入管33係一體設置,故相較於圖4所示之U字型的氣體噴射器4c,氣體噴射器3d、3e的尺寸能更為小巧。
再更進一步地,具備本例之氣體噴射器3、3a~3e的立式熱處理裝置中,所使用之成膜氣體的種類、或所成膜之膜層的種類,並不限定於上述例子[以作為原料氣體之HCD氣體與作為反應氣體之氧氣及氫氣,形成SiO2 膜(金屬氧化膜)]之成膜。例如,亦可係以ALD法實施如下金屬膜之成膜:含有金屬原料之原料氣體、與含有氮氣之反應氣體發生反應,所進行之金屬氮化物的成膜;或是以含有金屬原料之原料氣體、與使該原料氣體分解‧還原之氣體發生反應,所進行之金屬膜的成膜。[實施例]
(實驗)使用同等於圖1所示之下方排氣式的立式熱處理裝置,而對晶舟2所保持之晶圓W,以ALD法進行SiO2 膜之成膜,並量測各晶圓W的膜厚分佈。<A. 實驗條件>(實施例)使用如圖2所示之實施形態的氣體噴射器3,進行HCD氣體之供給;另一方面使用圖3所示之習知技術型的氣體噴射器4,進行氧氣之供給,並以ALD法進行SiO2 膜之成膜。在供給HCD氣體時,係從HCD氣體供給源71,以200sccm之流量,供給6秒鐘的HCD氣體;而在供給氧氣及氫氣時,係從氧氣供給源72、氫氣供給源73,以3,000sccm之流量供給氧氣、並以1,000sccm之流量供給氫氣,供給10秒鐘。包含這些氣體供給之循環,共實施100次以進行成膜。反應容器1內的壓力係40Pa,加熱部12加熱晶圓W的溫度係600℃,晶舟2繞旋轉軸53的轉速係2.0rpm。使用膜厚計,量測:保持晶圓W的晶舟2之最下層起算,第20層、第60層、第90層、第130層、第160層之載置位置上所載置之5片晶圓W的膜厚分佈。(比較例)使用圖3所示之習知技術型的氣體噴射器4,除了進行HCD氣體之供給這點以外,皆以相同於實施例的條件進行成膜,並量測膜厚分佈。
<B. 實驗結果>實施例、比較例之結果,分別繪示於圖8(a)、(b)。各圖中所繪示之實線,係示意性地代表:從通過晶圓W中心之橫剖面觀察下的SiO2 膜之膜厚分佈。於各圖中,排列著膜厚分佈的測定結果:在進行膜厚量測之晶圓W中,最下層之晶圓W的膜厚分佈,繪示於右端,並依序將上層側之晶圓W的膜厚分佈繪示於左側。
根據圖8(a)所示之實施例的結果,確認到不論是在哪一個載置位置上成膜之SiO2 膜,皆為晶圓W之中央側的膜厚較厚、周緣側較薄的凸形膜厚分佈。更進一步地,若著眼於膜厚為最大之晶圓W的中央位置,而確認各晶圓W之膜厚變化,則可以發現到保持在晶舟2的上層側之晶圓W,形成比起下層側所保持之晶圓W還厚的SiO2 膜。此膜厚的變化,係對應氣體噴射器3吐出之HCD氣體的流量分佈。 另一方面,在進行過膜厚分佈之量測的5片晶圓W間,膜厚最大値的誤差,即使是最大的那一組,也抑制在2倍以內的範圍。
相對於此,於圖8(b)所示之比較例的結果中,也是全部的晶圓W皆為中央側膜厚較厚、周緣側較薄,形成了具備凸形膜厚分佈的SiO2 膜。然後,確認到比起晶圓W的膜厚(晶圓W之中央位置上的膜厚之最大値),係以保持在晶舟2下層側的晶圓W,形成比起上層側所保持之晶圓W更厚的SiO2 膜。此膜厚之變化,係對應於習知技術型之氣體噴射器4所吐出之HCD氣體的流量分佈。更進一步地,在進行過膜厚分佈之量測的5片晶圓W間,膜厚之最大値的誤差,擴大到2倍以上。 基於上述實驗結果可評鑑出:相較於使用習知之氣體噴射器4的情形,若利用實施形態之氣體噴射器3供給HCD氣體,藉此可使晶舟2所保持之晶圓W上所成膜之膜層的膜厚分佈,在各面間一致。
1‧‧‧反應容器2‧‧‧晶舟3、3a~3e‧‧‧氣體噴射器4、4a、4b、4c‧‧‧氣體噴射器5‧‧‧歧管8‧‧‧控制部11‧‧‧反應管12‧‧‧加熱部21‧‧‧頂板22‧‧‧底板23‧‧‧支柱31‧‧‧氣體供給孔32‧‧‧噴射器本體32a‧‧‧氣體導入口33‧‧‧氣體導入管33a‧‧‧縮徑管部33b‧‧‧基端側管部41‧‧‧氣體供給孔50‧‧‧隔熱單元51‧‧‧晶舟升降機52‧‧‧驅動部53‧‧‧旋轉軸54‧‧‧隔熱鰭部55‧‧‧支柱56‧‧‧蓋體61‧‧‧排氣管62‧‧‧壓力調整部63‧‧‧真空排氣部71‧‧‧HCD氣體供給源72‧‧‧氧氣供給源73‧‧‧氫氣供給源321‧‧‧內部空間331、331a‧‧‧氣體導入口332‧‧‧隔板構件M11、M12、M13‧‧‧流量調節部V11、V12、V13‧‧‧開閉閥W‧‧‧晶圓
【圖1】具備本發明實施形態之氣體噴射器的立式熱處理裝置之縱斷側視圖。【圖2】前述氣體噴射器之縱斷側視圖。【圖3】習知技術之氣體噴射器的說明圖。【圖4】U字形折返之氣體噴射器的說明圖。【圖5】(a)~(c)關於使前述噴射器本體內之內壓變化之手法的說明圖。【圖6】繪示前述氣體噴射器之變形例的說明圖。【圖7】(a)、(b)繪示前述氣體噴射器之另一變形例的說明圖。 【圖8】(a)、(b)繪示實施例及比較例之實驗結果的說明圖。
3‧‧‧氣體噴射器
31‧‧‧氣體供給孔
32‧‧‧噴射器本體
33‧‧‧氣體導入管
33a‧‧‧縮徑管部
33b‧‧‧基端側管部
321‧‧‧內部空間
331‧‧‧氣體導入口
332‧‧‧隔板構件

Claims (7)

  1. 一種氣體噴射器,設於立式熱處理裝置,該立式熱處理裝置係將基板保持具搬入周圍配置有加熱部的立式之反應容器內以進行熱處理,該基板保持具係在上下方向上棚架狀地排列複數片基板而加以保持,該氣體噴射器係用以向該反應容器內,供給對基板成膜用的成膜氣體;該氣體噴射器包括:噴射器本體,成筒狀,在該反應容器內朝上下方向延伸配置,並沿著該上下方向形成有複數個氣體供給孔;以及氣體導入管,成筒狀,沿著該上下方向與該噴射器本體一體設置,並具備用以接收該成膜氣體的下部側之氣體接收口、以及連通至該噴射器本體的內部空間而對該內部空間導入成膜氣體的氣體導入口;其中為了使導入該內部空間的成膜氣體之壓力,低於該氣體導入管內的成膜氣體之壓力,而在該氣體導入管,設置使成膜氣體所流過之流路變窄的限流部。
  2. 如申請專利範圍第1項之氣體噴射器,其中,該氣體導入管,藉由形成插入該內部空間的狀態,而與該噴射器本體成為一體。
  3. 如申請專利範圍第2項之氣體噴射器,其中,該氣體導入口,係開口於插入至該內部空間之氣體導入管的上端面。
  4. 如申請專利範圍第1至3項中任一項之氣體噴射器,其中,設置該氣體導入口的高度位置,係比該複數個氣體供給孔之中形成在最下方的該氣體供給孔更高的位置。
  5. 一種立式熱處理裝置,具備如申請專利範圍第1至4項中任一項之氣體噴射器。
  6. 如申請專利範圍第5項之立式熱處理裝置,其中,於該反應容器設有排氣部,該排氣部係設置於「從該氣體噴射器供給至反應容器內的成膜氣體,在該反應容器內朝向下方側流動後,往外部排出之位置」。
  7. 如申請專利範圍第5或6項之立式熱處理裝置,其中,具備「朝向該氣體導入管的氣體接收口供給成膜氣體」的成膜氣體供給部,該成膜氣體包含藉由熱而分解並在噴射器本體或氣體導入管之內面形成膜層的成分。
TW106138554A 2016-11-14 2017-11-08 氣體噴射器及立式熱處理裝置 TWI701737B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-221523 2016-11-14
JP2016221523A JP6737139B2 (ja) 2016-11-14 2016-11-14 ガスインジェクタ、及び縦型熱処理装置

Publications (2)

Publication Number Publication Date
TW201834062A TW201834062A (zh) 2018-09-16
TWI701737B true TWI701737B (zh) 2020-08-11

Family

ID=62106396

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106138554A TWI701737B (zh) 2016-11-14 2017-11-08 氣體噴射器及立式熱處理裝置

Country Status (5)

Country Link
US (1) US20180135179A1 (zh)
JP (1) JP6737139B2 (zh)
KR (1) KR102224424B1 (zh)
CN (1) CN108070847B (zh)
TW (1) TWI701737B (zh)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7023147B2 (ja) * 2018-03-13 2022-02-21 東京エレクトロン株式会社 断熱構造体及び縦型熱処理装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102559965B1 (ko) 2018-03-23 2023-07-25 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2020053996A1 (ja) * 2018-09-12 2020-03-19 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP7109331B2 (ja) * 2018-10-02 2022-07-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110396677A (zh) * 2019-06-26 2019-11-01 南京爱通智能科技有限公司 一种超大规模原子层沉积设备的快速加热方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102256105B1 (ko) * 2019-12-13 2021-05-27 주식회사 금강쿼츠 반도체 제조에 사용되는 예열용 2중관 노즐
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7325343B2 (ja) 2020-01-08 2023-08-14 東京エレクトロン株式会社 ガス供給構造及び基板処理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220143222A (ko) 2021-04-15 2022-10-25 삼성전자주식회사 박막 증착 장치 및 박막 증착 방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113755823B (zh) * 2021-09-07 2023-10-13 北京北方华创微电子装备有限公司 半导体热处理设备的气体喷射装置及半导体热处理设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201110232A (en) * 2009-09-11 2011-03-16 Hitachi Int Electric Inc Semiconductor device manufacturing method and substrate processing apparatus

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH046825A (ja) * 1990-04-24 1992-01-10 Nec Corp 半導体成長装置
JP3541846B2 (ja) * 1992-05-22 2004-07-14 松下電器産業株式会社 半導体製造装置
KR100394571B1 (ko) * 1999-09-17 2003-08-14 삼성전자주식회사 화학기상증착용 튜브
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
JP2004363142A (ja) * 2003-06-02 2004-12-24 Sumitomo Mitsubishi Silicon Corp 縦型熱処理炉及び該熱処理炉のガス導入方法
US7132103B2 (en) * 2003-08-01 2006-11-07 Enhan Technology Holdings International Co., Ltd. Effects of sporoderm-broken germination activated ganoderma spores on treatment of spinal cord injury
JP4899744B2 (ja) 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
JP4879041B2 (ja) 2007-02-20 2012-02-15 株式会社日立国際電気 基板処理装置
KR101867364B1 (ko) * 2012-01-03 2018-06-15 삼성전자주식회사 배치 타입 반도체 장치
JP6113626B2 (ja) * 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201110232A (en) * 2009-09-11 2011-03-16 Hitachi Int Electric Inc Semiconductor device manufacturing method and substrate processing apparatus

Also Published As

Publication number Publication date
CN108070847A (zh) 2018-05-25
US20180135179A1 (en) 2018-05-17
KR20180054447A (ko) 2018-05-24
JP2018081956A (ja) 2018-05-24
JP6737139B2 (ja) 2020-08-05
TW201834062A (zh) 2018-09-16
KR102224424B1 (ko) 2021-03-05
CN108070847B (zh) 2021-05-07

Similar Documents

Publication Publication Date Title
TWI701737B (zh) 氣體噴射器及立式熱處理裝置
JP5423205B2 (ja) 成膜装置
US8202809B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
TWI602942B (zh) 成膜方法及成膜裝置
JP6700165B2 (ja) 成膜装置および成膜方法
US20120222615A1 (en) Film deposition apparatus
TWI741445B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
US10907253B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
KR102237780B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
TW201736634A (zh) 混合氣體複數系統供給體系及利用該體系的基板處理裝置
JP2006286716A (ja) 半導体デバイスの製造方法
JP2018107182A (ja) 基板処理装置および基板処理方法、ならびに基板処理システム
US20230055506A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, method of processing substrate, and gas injector
TWI752452B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
KR102630574B1 (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US11211265B2 (en) Heat treatment apparatus and heat treatment method
JP6224258B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20220411933A1 (en) Film forming apparatus
JP2010123752A (ja) 基板処理装置
JP4464364B2 (ja) 半導体装置の製造方法および半導体製造装置
US20240141490A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TWI814084B (zh) 基板處理裝置,半導體裝置的製造方法及程式
WO2021187029A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
TW202339054A (zh) 基板處理裝置、基板處理方法、半導體裝置之製造方法、程式及氣體供給單元
JP2005197541A (ja) 基板処理装置