CN108070847A - 气体喷射器和立式热处理装置 - Google Patents

气体喷射器和立式热处理装置 Download PDF

Info

Publication number
CN108070847A
CN108070847A CN201711121710.XA CN201711121710A CN108070847A CN 108070847 A CN108070847 A CN 108070847A CN 201711121710 A CN201711121710 A CN 201711121710A CN 108070847 A CN108070847 A CN 108070847A
Authority
CN
China
Prior art keywords
gas
film forming
processing apparatus
gas introduction
injector body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711121710.XA
Other languages
English (en)
Other versions
CN108070847B (zh
Inventor
池内俊之
岛裕巳
铃木启介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN108070847A publication Critical patent/CN108070847A/zh
Application granted granted Critical
Publication of CN108070847B publication Critical patent/CN108070847B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及气体喷射器和立式热处理装置。该气体喷射器设置于立式热处理装置,用于向立式的反应容器内供给在基板上成膜用的成膜气体,将基板保持器具向在周围配置有加热部的反应容器内输入来对多个基板进行热处理,该基板保持器具沿上下方向将多个基板排列成搁板状来进行保持,该气体喷射器具备:筒状的喷射器主体,其以沿上下方向延伸的方式配置于反应容器内,沿上下方向在喷射器主体形成有多个气体供给孔;以及筒状的气体导入管,其沿上下方向以与喷射器主体成为一体的方式设置,该气体导入管具备:气体接受口,其接受成膜气体;以及气体导入口,其与喷射器主体的内部空间连通,该气体导入口向该内部空间导入成膜气体。

Description

气体喷射器和立式热处理装置
本申请基于2016年11月14日提出申请的日本特许申请第2016-221523号的优先权,将该日本申请的全部内容引用于本申请。
技术领域
本发明涉及向对基板进行成膜的立式热处理装置供给成膜气体的技术。
背景技术
在半导体装置的制造工序中,作为在作为基板的半导体晶圆(以下称为“晶圆”)的表面进行成膜的方法,公知有交替地供给含有金属原料等的原料气体、与该原料气体反应的反应气体来在晶圆的表面形成金属膜的原子层堆积(Atomic Layer Deposition、ALD)法、形成含有所述金属的化合物的膜的分子层堆积(Molecular Layer Deposition、MLD)法。在以下的说明中,将这些ALD法和MLD法统称为“ALD法”。
另外,作为实施上述的ALD法的装置的一种,公知有在立式的反应容器内对多个张晶圆一并进行成膜的批量式的立式热处理装置。在立式热处理装置中,将基板保持器具向反应容器内输入来进行成膜,该基板保持器具将多个晶圆沿着上下方向排列成搁板状并进行保持。
因此,在使用立式热处理装置的情况下,出于对在晶圆的面间具有均匀的膜厚分布的膜进行成膜的观点考虑,优选对保持在基板保持器具的各晶圆尽可能均匀地供给原料气体、反应气体(以下存在将它们统称为“成膜气体”的情况)。
例如,公知有一种立式热处理装置,该立式热处理装置具备从处理容器内的下部侧延伸到上部侧之后、呈U字状折回、其顶端部延伸到处理容器内的下部侧的喷嘴。在喷嘴内,越是上游侧,气体的压力越高,因此,设置于上游侧的气体喷射孔所喷射的气体的流量更多。因此,通过使喷嘴呈U字状折回,将从设置于折回前的喷嘴部分的气体喷射孔的列供给的气体的流量的分布和从设置于折回后的喷嘴部分的气体喷射孔的列供给的气体的流量的分布组合,在喷嘴整体上沿着上下方向谋求均等的气体的供给。
另一方面,折回呈U字状的喷嘴易于大型化,也有可能无法配置于预先确定好的大小的处理容器内。此时,仅出于配置喷嘴的目的就使包括处理容器在内的立式热处理装置整体大型化并不现实。
此外,例如公知有一种具备供给吹扫气体的中心管和供给处理气体的外周管的双层管构造的喷嘴,但并不是向保持在基板保持器具的各晶圆均匀地供给处理气体的技术。
发明内容
发明要解决的问题
本发明提供一种能够抑制喷嘴的大型化、同时进行适于立式热处理装置的成膜气体的供给的气体喷射器和具备该喷射器的立式热处理装置。
用于解决问题的方案
本发明的气体喷射器设置于立式热处理装置,该气体喷射器用于向立式的反应容器内供给在基板上成膜用的成膜气体,该立式热处理装置将基板保持器具向在周围配置有加热部的所述反应容器内输入而对多个所述基板进行热处理,该基板保持器具沿着上下方向将多个所述基板排列成搁板状并进行保持,其中,该气体喷射器具备:
筒状的喷射器主体,其以沿着上下方向延伸的方式配置于所述反应容器内,沿着所述上下方向在所述喷射器主体形成有多个气体供给孔;以及
筒状的气体导入管,其以沿着所述上下方向与所述喷射器主体成为一体的方式设置,该气体导入管具备:气体接受口,其接受所述成膜气体;以及气体导入口,其与所述喷射器主体的内部空间连通,该气体导入口向该内部空间导入所述成膜气体。
此外,本申请的立式热处理装置具有上述的气体喷射器。
附图说明
说明书附图作为本申请说明书的一部分而加入并表示本申请的实施方式,因此,与上述的一般的说明以及后述实施方式的详细内容一起说明本申请的内容。
图1是具备实施方式的气体喷射器的立式热处理装置的纵剖侧视图。
图2是所述气体喷射器的纵剖侧视图。
图3是以往型的气体喷射器的说明图。
图4是U字状的折回气体喷射器的说明图。
图5是使所述喷射器主体内的内压变化的方法的说明图。
图6是表示所述气体喷射器的变形例的说明图。
图7是表示所述气体喷射器的另一变形例的说明图。
图8是表示实施例和比较例的实验结果的说明图。
具体实施方式
以下,参照说明书附图详细说明本申请的各种各样的实施方式。在后述的详细的说明中,为了能够充分理解本申请而记载了较多的具体细节。然而,不言而喻的是,本领域技术人员可以在没有这样的具体细节的情况下得到本申请。在其他例子中,为了避免混淆各种各样的实施例,公知的方法、步骤、系统以及结构要素未被详细的示出。
首先,一边参照图1一边对本发明的实施方式的具备气体供给孔31的立式热处理装置的结构例进行说明。在本例中,对使作为原料气体的HCD(六氯乙硅烷,Hexachlorodisilane)气体和含有作为反应气体的O自由基和OH自由基的活性种反应、利用ALD法在晶圆W形成SiO2膜的立式热处理装置进行说明。
立式热处理装置具备上端侧被堵塞、下端侧开口的石英制的圆筒状的反应管11。在反应管11的下方设置有与该反应管11的开口部气密地连接的由不锈钢制的筒状构件构成的歧管5,在歧管5的下端形成有凸缘。这些反应管11和歧管5构成本例的反应容器1。
在反应管11的周围,以在整周上从外方侧包围该反应管11的侧面的方式设置有由电阻发热体构成的加热部12。加热部12保持于从上方侧覆盖反应管11的周围的空间的未图示的绝热体。
歧管5的下表面侧的开口被石英制的圆板形状的盖体56堵塞。盖体56设置于舟皿升降机51上,通过使该舟皿升降机51升降,盖体56能够在堵塞所述歧管5的开口的状态和使所述歧管5的开口敞开的状态之间进行切换。而且,在盖体56和舟皿升降机51设置有贯穿它们的旋转轴53,旋转轴53从盖体56的上表面朝向上方侧伸出。旋转轴53能够利用设置于舟皿升降机51的下方的驱动部52绕铅垂轴线旋转。
在旋转轴53的上端,在由反应管11的侧周壁包围的位置设置有作为基板保持器具的晶圆舟皿2。晶圆舟皿2具备:顶板21,其由具有比晶圆W的直径(300mm)大的直径的圆形的石英板构成;以及环状的底板22。顶板21和底板22以上下相对的方式配置,由在其周缘部的半周的区域中等间隔地配置的多根支柱23彼此连结。在顶板21与底板22之间,沿着上下方向隔开间隔地呈搁板状设置有晶圆W被逐张载置的多个载置部(未图示)。
另外,在盖体56与晶圆舟皿2之间设置有绝热单元50。绝热单元50具备由例如石英板构成的圆环状的多个隔热片54,这些隔热片54被沿着周向隔开间隔地设置于盖体56的上表面的多个支柱55支承成搁板状。在圆环状的隔热片54的内侧插入有已述的旋转轴53,以从外方侧包围该旋转轴53的侧周面的方式配置绝热单元50。
晶圆舟皿2和绝热单元50利用已述的舟皿升降机51与盖体56一起升降,使晶圆舟皿2在位于反应管11的内侧的处理位置(图1所示的位置)和从反应容器1内将晶圆舟皿2抽出、在未图示的交接机构与晶圆舟皿2之间进行晶圆W的交接的交接位置之间移动。
在配置于处理位置的晶圆舟皿2与反应管11的侧周壁之间配置有:气体喷射器3,其用于向反应管11内供给HCD气体;以及气体喷射器4(氧气喷射器4a、氢气喷射器4b),其分别用于供给氧气或氢气。
针对这些气体喷射器3、4中的、HCD气体用的气体喷射器3具备本发明的实施方式的结构这点,参照图2在后面详细地说明。
另一方面,如图1、3所示,氧气用和氢气用的气体喷射器4(4a、4b)采用在末端被堵塞的细长的筒状的石英管的侧面沿着长度方向彼此隔开间隔地形成有多个气体供给孔41的、以往构造的气体喷射器。气体喷射器4以使气体供给孔41的形成面朝向晶圆舟皿2侧且沿着上下方向延伸的方式配置于反应管11内。在气体喷射器4配置到反应管11内的状态下,多个气体供给孔41在从晶圆舟皿2中的最下层的晶圆W的载置位置到最上层的载置位置的区域内大致等间隔地形成。
此外,在图1中,出于图示方便,气体喷射器4a、4b在观察反应管11的横截面时以配置于沿着径向错开的位置的方式示出。但是,实际上,这些气体喷射器4a、4b也可以从晶圆舟皿2侧观察以沿着反应管11的内壁面的方式排列配置。
各气体喷射器3、4的下部侧(基端部侧)在伸出到歧管5侧、朝向歧管5的侧周壁面弯折之后、与构成HCD气体、氧气和氢气的供给管线的配管连接。气体喷射器3、4上的、在与气体的供给配管之间的连接部形成的开口相当于气体接受口。
这些气体的供给管线贯穿歧管5,分别经由开闭阀V11、V12、V13、流量调节部M11、M12、M13与HCD气体供给源71、氧气供给源72和氢气供给源73连接。HCD气体供给源71、开闭阀V11、流量调节部M11、以及HCD气体的供给管线相当于本实施方式的成膜气体供给部。
而且,为了相对于这些气体的供给管线从反应管11内排出HCD气体、氧气、氢气,也可以设置将氮气等非活性气体作为吹扫气体供给的未图示的吹扫气体供给源。
而且,排气管61与歧管5连接,在该排气管61的下游侧经由排气流量调节用的压力调整部(例如蝶形阀)62连接有真空排气部63。通过排气管61与歧管5连接,在从气体喷射器3、4供给到反应管11内的成膜气体(HCD气体、氧气、氢气)在反应管11内朝向下方侧流动之后,向外部排气。排气管61、压力调整部62、以及真空排气部63相当于本例的排气部。
此外,在立式热处理装置设置有控制部8。控制部8由具备例如未图示的CPU(中央处理单元,Central Processing Unit)和存储部的计算机构成,在存储部记录有程序,该程序编入有针对由立式热处理装置实施的成膜处理(热处理)的步骤(命令)组,由立式热处理装置实施的成膜处理(热处理)即是如下控制:使保持有处理对象的晶圆W的晶圆舟皿2向处理位置移动而输入到反应管11内之后、一边以预先决定的顺序、流量对原料气体、反应气体进行切换一边供给、执行成膜处理。该程序储存于例如硬盘、光盘、磁光盘、存储卡等存储介质,从该存储介质安装于计算机。
在具备以上进行了说明的结构的立式热处理装置中,进行HCD气体的供给的气体喷射器3以沿着上下方向延伸的方式配置于反应管11内,具备适于立式热处理装置的特别的构造。
以下,参照图2对该气体喷射器3的具体的结构进行说明。
在详细地说明气体喷射器3的结构之前,说明使用图3所示的以往型的气体喷射器3A来进行了HCD气体的供给的情况的问题点。
对于在细长的筒状的气体喷射器3A内流动的气体的压力,流动方向的上游侧(气体喷射器3A的基端侧)的该压力比流动方向的的下游侧(气体喷射器3A的顶端侧)的该压力高。其结果,形成如下流量分布:越是位于基端侧的气体供给孔41,从各气体供给孔41供给的气体的流量越大,流量朝向位于顶端侧的气体供给孔41逐渐变小。
此外,在图2~图8所示的各种气体喷射器3、3A、3a~3e、4(4a、4b)、4c的图中,根据从气体供给孔31、41供给的气体的流量而使表示气体的流动的箭头的长度变化。在这些图中,虚线的箭头越长,表示气体的流量越大,各箭头的长度并不严格地表示气体的流量。
若使用具有上述的流量分布的气体喷射器3A来进行HCD气体的供给,则高浓度的HCD气体向保持在晶圆舟皿2的下部侧的晶圆W供给,比下部侧的浓度低的浓度的HCD气体向保持在上部侧的晶圆W供给。其结果,比较多的HCD吸附于保持在下部侧的晶圆W,在保持在上部侧的晶圆W上,HCD的吸附量变少,在晶圆W的面间形成HCD的吸附量不同的分布。
因而,在吸附到晶圆W的表面的HCD与O自由基和OH自由基反应而获得的SiO2的各膜中,厚度也在晶圆W的面间不同,因此,不同的厚度的SiO2膜被层叠,在面间具有不同的膜厚分布的SiO2膜就被成膜(参照随后论述的图8的(b)所示的比较例)。
尤其是,对于将反应管11内的成膜气体朝向下方侧排气的结构的立式热处理装置,在供给到晶圆舟皿2的下部区域的浓度比较高的HCD气体未朝向反应管11内的上部侧的空间充分地扩散的期间内就被排气。因此,晶圆W的面间的膜厚分布的偏差也有可能更明显。
为了改善上述的问题,如图4所示,也想到使用折回呈U字状的形状的气体喷射器4c的方法。该气体喷射器4c能够向反应管11的上部侧的空间供给更高的浓度的HCD气体。此时,若反应管11内的HCD气体被向下方排气,则供给到上部侧的高浓度的HCD气体一边在下部侧的空间内扩散一边被排气,因此,也向保持在晶圆舟皿2的下部侧的晶圆W供给高浓度的HCD气体,也存在能够改善面间的膜厚分布的偏差的可能性。
然而,折回呈U字状的气体喷射器4c易于大型化,因此,也存在难以配置于反应管11内的情况。另外,在HCD气体的压力比较高、且流动的朝向变化的气体喷射器4c的折回部分的内壁面易于随着热分解等而形成Si膜等。若该Si膜从气体喷射器4c的内壁面剥离,则成为微粒而流入反应管11内,也有可能成为晶圆W的污染源。
图2表示实施方式的气体喷射器3。与使用图3进行了说明的以往的气体喷射器3A同样地,本例的气体喷射器3在末端被堵塞的细长的筒状的石英管(具有与例如以往的气体喷射器3A通用的管径)的侧面彼此隔开间隔地形成有多个气体供给孔31。以下,在该气体喷射器3中,将形成有气体供给孔31的上部侧的区域称为喷射器主体32。本例的气体喷射器3成为在所述喷射器主体32内插入有管径比喷射器主体32的管径细的、石英制的气体导入管33而成的构造。
在气体导入管33的上端面形成有气体导入口331,气体导入管33内的空间与喷射器主体32的内部空间321连通。另一方面,在气体导入管33的下端部,喷射器主体32的侧周壁与气体导入管33的外周面之间的间隙被圆环形状的分隔构件332堵塞、且气体导入管33的下端面开口。
其结果,气体喷射器3中的比分隔构件332的配置位置靠下方侧的部分(沿着HCD气体的流动方向看来位于上游侧的部分)可以说构成气体导入管33的基端侧管部33b。与此相对,气体导入管33的插入到喷射器主体32的区域构成气体导入管33的缩径管部33a。
如此,喷射器主体32和气体导入管33借助分隔构件332沿着上下方向成为一体而构成气体喷射器3。可以说在该气体喷射器3内形成有从HCD气体供给源71侧供给来的HCD气体在气体导入管33内经过而向喷射器主体32的内部空间321流入的流路。
另外,在所述内部空间321内,气体导入管33配置于气体导入管33的中心轴线相对于喷射器主体32的中心轴线向远离气体供给孔31的形成面的方向错开的位置。其结果,喷射器主体32的形成有气体供给孔31的朝向的内周面与气体导入管33的外周面之间的间隙扩大,流入到内部空间321内的HCD气体易于到达各气体供给孔31。
以下,对具备上述的气体喷射器3的立式热处理装置的作用进行说明。
首先,使晶圆舟皿2下降到交接位置,利用未图示的外部的基板输送机构将晶圆W载置于晶圆舟皿2的全部的载置部。另外,利用加热部12在将晶圆W输入到反应管1内时开始加热,以使各晶圆W成为预先设定好的温度。
然后,使舟皿升降机52上升,将晶圆舟皿2配置于反应容器1内的处理位置,并且利用盖体56密闭歧管5的开口。接下来,利用真空排气部63进行抽真空,以使反应容器1的内压成为预先设定好的真空度,并且,利用旋转轴53使晶圆舟皿2以预先设定好的旋转速度旋转。
这样一来,一完成进行ALD法的成膜的准备,就以预先设定好的流量从HCD气体供给源71开始HCD气体的供给。如在图2中以虚线所示那样,从供给管线供给到气体喷射器3的基端部(气体接受口)的HCD气体在朝向上方侧流动之后,流入管径较细的气体导入管33内。并且,经过了该气体导入管33内的HCD气体从气体导入口331向喷射器主体32的内部空间321导入,进一步向该内部空间321扩散之后,从各气体供给孔31向反应管11供给。
在此,如图2所示,在本例的气体喷射器3中,气体导入口331在比形成于最上方侧的气体供给孔31还高的位置开口,因此,从气体导入口331导入而在内部空间321内扩散的HCD气体的压力在气体喷射器3的顶端侧较高,压力在基端侧变低。其结果,与图4所示的气体喷射器4c的情况同样地,更高的浓度的HCD气体向反应管11的上部侧的空间供给,比上部侧的浓度低的浓度的HCD气体向下部侧的空间供给。
另外,气体导入管33(缩径管部33a)的管径比喷射器主体32的管径细,因此,构成流路较窄的节流部,在该气体导入管33内流动之际HCD气体的压力降低。而且,气体导入口331朝向堵塞的状态的喷射器主体32的末端面开口,因此,导入到内部空间321内之后的HCD气体在朝向大幅度改变之后,在内部空间321内扩散开。即使是在该流动变化方向的变化之际,HCD气体的压力也降低。出于该观点,喷射器主体32的内部空间321可以说起到使HCD气体流动的势头平稳的、缓冲空间的作用。
流动的势头变弱的HCD气体在内部空间321内扩散之际,扩散的影响变大。因此,靠近气体导入口331的、气体喷射器3的顶端侧的HCD气体的压力与远离气体导入口331的、基端侧的HCD气体的压力之间的压力差变小。其结果,与图3所示的以往的气体喷射器3A相比较,能够从沿着喷射器主体32的上下方向形成的多个气体供给孔31更均匀地供给HCD气体。
如以上进行了说明那样,本例的气体喷射器3与图4所示的U字状的气体喷射器4c同样地,在对反应管11的上部侧的空间与下部侧的空间进行了比较时,能够向上部侧的空间供给高浓度的HCD气体。另外,通过使喷射器主体32的内部空间321起到缓冲空间的作用,该气体喷射器3与U字状的气体喷射器4c相比较,能够从各气体供给孔31更均匀地供给HCD气体。
而且,本例的气体喷射器3通过使内部空间321的HCD气体的压力降低而增大HCD的分子间距离,难以产生HCD气体的热分解,因此,也具有抑制喷射器主体32内的Si膜的形成、抑制微粒的产生的效果。
从气体喷射器3的各气体供给孔31供给来的HCD气体向反应管11内扩散,到达被保持在绕旋转轴53旋转的晶圆舟皿2的各晶圆W而吸附于各晶圆W的表面。此时,反应管11(反应容器1)内被朝向下方侧排气,因此,上部侧的空间内的比较高浓度的HCD气体一边在下部侧的空间内扩散一边被排气。其结果,从上部侧流入的HCD气体也向保持在反应管11的下部侧的晶圆W供给,能够使吸附于晶圆W的HCD气体的量沿着晶圆舟皿2的高度方向均匀化。
这样一来,一经过使预定量的HCD气体吸附于各晶圆W所需要的时间,就使来自HCD气体供给源71的HCD气体的供给停止,并且,根据需要供给吹扫气体,将残存于反应管11内的HCD气体排出。
然后,从氧气供给源72和氢气供给源73向反应管11内供给预先设定好的流量的氧气和氢气。从供给到成为低压高温气氛的反应管11内的氧气和氢气生成含有O自由基和OH自由基的活性种。这些O自由基和OH自由基与吸附到晶圆W的HCD反应,从而形成SiO2膜。
在上述的反应中,在向保持在例如晶圆舟皿2的各层的晶圆W供给的O自由基和OH自由基的浓度的分布对晶圆W的面间的膜厚分布的偏差带来的影响较小的情况下,使用图3所示的单管构造的气体喷射器3A来进行O自由基和OH自由基的供给为佳。换言之,即使在晶圆W的面间使HCD均匀地吸附了时向各晶圆W供给的O自由基和OH自由基的浓度不同,也只要供给使HCD反应足够的量的O自由基和OH自由基,就可在面间形成均匀的膜厚分布的SiO2膜,在这样的情况下,可以说只要采用单管构造的气体喷射器3A就足矣。
这一点,在来自氧气喷射器4a、氢气喷射器4b的各气体供给孔41的氧气或氢气的流量的分布对晶圆W的面间的膜厚分布的偏差带来的影响较大的情况下,氧气、氢气(反应气体)的供给也可以利用图2所示的缓冲空间型的气体喷射器3。在该情况下,氧气供给源72、氢气供给源73、开闭阀V12、V13、流量调节部M12、M13、氧气、氢气的供给管线相当于本实施方式的成膜气体供给部。
并且,一经过使吸附到各晶圆W的HCD气体反应所需要的预定的时间,就使来自氧气供给源72、氢气供给源73的氧气和氢气的供给停止,根据需要供给吹扫气体,将残存于反应管11内的氧气和氢气排出。然后,使来自HCD气体供给源71的HCD气体的供给再次开始而进行HCD向晶圆W的吸附。
这样一来,反复实施包括HCD气体的供给以及氧气和氢气的供给的循环,一旦实施了该循环预先设定好的次数,就在使最终循环的氧气和氢气的供给停止后,对反应管11内进行吹扫。然后,使反应容器1内的压力恢复成大气压后,使晶圆舟皿2下降而将进行了成膜的晶圆W输出,一系列的动作结束。
根据本实施方式的立式热处理装置,具有以下的效果。将气体喷射器3以沿着上下方向延伸的方式配置于反应容器1内,在构成该气体喷射器3的喷射器主体32的内部空间321,与该喷射器主体32一体地设置有气体导入管33,经由该气体导入管33进行HCD气体的导入。其结果,能够抑制气体喷射器3的大型化,同时能够(1)在对来自在气体喷射器3的顶端侧和基端侧形成的气体供给孔31的HCD气体(成膜气体:原料气体、反应气体)的供给流量进行了比较时、形成来自基端侧的气体供给孔31的供给流量相对地较小的流量分布、且(2)将这些顶端侧与基端侧之间的供给流量之差抑制得较小。
在此,在气体导入管33插入到喷射器主体32内的气体喷射器3中、在从HCD气体供给源71侧供给的成膜气体的流量恒定的情况下,内部空间321的容积越小,内部空间321内的平均的压力越高。并且,只要增大内部空间321的容积,能够降低所述平均的压力(以下,在图5的说明中也称为“内压”)。
因此,如图5的(a)~(c)所示,若使插入到喷射器主体32内的气体导入管33的长度改变,则能够使内部空间321的容积变化,使内部空间321内的内压变化。在图5所示的例子中,在插入到喷射器主体32内的气体导入管33的长度最长的气体喷射器3中,内部空间321内的内压最高(图5的(a)),在气体导入管33的长度最短的气体喷射器3b中,所述内压最低(图(c))。
在立式热处理装置中,对于采用图5的(a)~(c)中任一气体喷射器3、3a、3b,事先把握反应管11侧所要求的成膜气体的供给流用的分布、在喷射器主体32内难以形成Si膜的内压条件等而选择恰当的气体喷射器即可。
在此,如图5的(b)、(c)所示的气体喷射器3a、3b那样,若缩短气体导入管33,则气体导入口331的开口位置位于比在最上方侧形成的气体供给孔31靠下方侧的位置。在该情况中下,若也在气体导入管33的上端面形成气体导入口331,则导入到内部空间321内的成膜气体在沿着来自气体导入管33的导入方向在喷射器主体32内朝向上方侧流动之后,到达喷射器主体32的上端面而形成改变流动方向的流动。其结果,即使是对于配置于比气体导入口331靠上方侧的气体供给孔31侧的区域,也能够供给压力比较高的成膜气体,形成来自在顶端侧形成的气体供给孔31的成膜气体的供给流量相对地变大的流量分布。
在如此采用利用气体导入管33的长度使内部空间321的容积变化的方法的情况下,气体导入管33的顶端的气体导入口331的高度位置设定于比形成于喷射器主体32的多个气体供给孔31中的、在最下方侧形成的气体供给孔31高的位置。更优选的是以比气体供给孔31的形成范围的二分之一的高度位置靠上方侧的位置配置气体导入口331的方式决定气体导入管33的长度为佳。
另外,喷射器主体32和气体导入管33设置成一体的结构并不限于将管径细的气体导入管33插入喷射器主体32内的情况。例如,如图6所示的气体导入管33那样,也可以相对于从基端侧到顶端侧的管径不变化的直管状的气体导入管33利用管径较大的喷射器主体32覆盖该气体导入管33的上部侧的区域。
另外,示出图6所示的气体导入管33在气体导入管33的侧面设置有比该气体导入管33的管径小的开口大小的气体导入口331a的例子。在该例子中,替代缩径管部33a,气体导入口331a作为节流部发挥功能,向内部空间321导入成膜气体之际的压力降低。
此外,在气体导入口331a设置于气体导入管33的侧面的情况下,需要防止成膜气体从气体导入口331a向气体供给孔31吹过。因此,如图6所示,优选的是,气体导入口331a配置于比在最上方侧形成的气体供给孔31高的位置,或朝向与气体供给孔31的形成面不同的方向而配置于成膜气体所导入的朝向。
进而,喷射器主体32和气体导入管33设置成一体的结构并不限于气体导入管33插入喷射器主体32内的情况,例如,如图7的(a)、(b)所示的气体喷射器3d、3e那样,也可以设为使喷射器主体32和气体导入管33相邻地排列而成为一体的结构。
图7的(a)的气体喷射器3d是将喷射器主体32和气体导入管33的侧壁面彼此连接且在该连接面的上方侧的位置设置有作为节流部的气体导入口331a的例子。
另外,图7的(b)的气体喷射器3e是如下例子:在喷射器主体32设置有供气体导入管33的侧面的一部分和上表面的一部分插入的缺口,气体导入管33插入该缺口内而覆盖所述气体导入管33的侧面的一部分和上面的一部分,在由喷射器主体32覆盖着的气体导入管33的上表面设置有作为节流部的气体导入口331。
在这些例子中,喷射器主体32和气体导入管33也设置成一体,因此,与图4所示的U字型的气体喷射器4c相比较,能够使气体喷射器3d、3e的尺寸紧凑。
再者,在具备本例的气体喷射器3、3a~3e的立式热处理装置中所使用的成膜气体的种类、所成膜的膜的种类并不限于上述的例子(使用了作为原料气体的HCD气体和作为反应气体的氧气和氢气的SiO2膜(金属氧化膜))的成膜。
例如,也可以利用ALD法实施由含有金属原料的原料气体与含有氮的反应气体反应来形成的金属氮化物的成膜、含有金属原料的原料气体与使该原料气体分解、还原的气体反应来形成的金属膜的成膜等。
【实施例】
(实验)
使用与使用图1表示的装置同等的下方排气方式的立式热处理装置,利用ALD法在保持在晶圆舟皿2的晶圆W进行SiO2膜的成膜,对各晶圆W的膜厚分布进行了测定。
A.实验条件
(实施例)
使用图2所示的实施方式的气体喷射器3来进行HCD气体的供给,而使用图3所示的以往型的气体喷射器3A来进行氧气的供给,利用ALD法对SiO2膜进行了成膜。在供给HCD气体时,从HCD气体供给源71在6秒钟供给流量200sccm的HCD气体,在供给氧气和氢气时,从氧气供给源72、氢气供给源73在10秒钟供给流量3000sccm的氧气和1000sccm的氢气。实施100次包括这些气体供给在内的循环而进行了成膜。反应容器1内的压力是40Pa,加热部12对晶圆W的加热温度是600℃,晶圆舟皿2绕旋转轴53的旋转速度是2.0rpm。利用膜厚计对从保持晶圆W的晶圆舟皿2的最下层数起而载置到第20层、第60层、第90层、第130层、第160层的载置位置的5张晶圆W的膜厚分布进行了测定。
(比较例)
除了使用图3所示的以往型的气体喷射器3A来进行了HCD气体的供给这点之外,以与实施例同样的条件进行了成膜、膜厚分布测定。
B.实验结果
将实施例、比较例的结果分别表示在图8的(a)、(b)中。各图中所示的实线示意性地表示观察穿过晶圆W的中心的横截面时的SiO2膜的膜厚分布。在各图中,以将进行了膜厚测定的晶圆W中的、最下层的晶圆W的膜厚分布表示在右端、依次将上层侧的晶圆W的膜厚分布表示在左侧的方式排列膜厚分布的测定结果。
根据图8的(a)所示的实施例的结果,对于在任一载置位置所成膜的SiO2膜,也确认到膜厚在晶圆W的中央侧较厚、在周缘侧变薄的向上凸的膜厚分布。而且,若着眼于晶圆W的膜厚最大的中央位置而确认各晶圆W的膜厚的变化,则能够确认到保持在晶圆舟皿2的上层侧的晶圆W比保持在下层侧的晶圆W形成有较厚的SiO2膜。该膜厚的变化与来自气体喷射器3的HCD气体的喷出流量的分布相对应。另一方面,在进行了膜厚分布的测定的5张晶圆W之间,膜厚的最大值的偏差最大也处于两倍以内的范围内。
相对于此,在图8的(b)所示的比较例的结果中,在全部的晶圆W中也形成了具有膜厚在中央侧较厚、在周缘侧变薄的向上凸的膜厚分布的SiO2膜。并且,确认到:对于晶圆W的膜厚(晶圆W的中央位置处的膜厚的最大值),保持在晶圆舟皿2的下层侧的晶圆W比保持在上层侧的晶圆W形成有较厚的SiO2膜。该膜厚的变化与来自以往型的气体喷射器3A的HCD气体的喷出流量的分布相对应。进而,在进行了膜厚分布的测定的5张晶圆W之间,膜厚的最大值的偏差扩大到两倍以上。
若根据以上的实验结果,则通过利用实施方式的气体喷射器3来供给HCD气体,与使用以往的气体喷射器3A的情况相比较,能够评价为可使在保持在晶圆舟皿2的晶圆W成膜的膜的膜厚分布在面间一致。
本发明经由与以沿着上下方向延伸的方式配置于反应容器内的喷射器主体设置成一体的气体导入管向该喷射器主体的内部空间导入成膜气体,因此,能够抑制喷射器的大型化、同时进行适于立式热处理装置的成膜气体的供给。
本次公开的实施方式在所有方面都应当被认为是说明性的而不是限制性的。事实上,上述实施方式可以以各种形式来实施。另外,在不脱离所附权利要求及其主旨的范围的情况下,可以以各种形式省略、替换或修改上述实施方式。本发明的范围旨在包括所附权利要求范围及其等同的含义和范围内的所有修改。

Claims (8)

1.一种气体喷射器,其设置于立式热处理装置,该气体喷射器用于向立式的反应容器内供给在基板上成膜用的成膜气体,该立式热处理装置将基板保持器具向在周围配置有加热部的所述反应容器内输入来对多个所述基板进行热处理,该基板保持器具沿着上下方向将多个所述基板排列成搁板状来进行保持,其中,
该气体喷射器具备:
筒状的喷射器主体,其以沿着上下方向延伸的方式配置于所述反应容器内,沿着所述上下方向在所述喷射器主体形成有多个气体供给孔;以及
筒状的气体导入管,其沿着所述上下方向以与所述喷射器主体成为一体的方式设置,该气体导入管具备:气体接受口,其接受所述成膜气体;以及气体导入口,其与所述喷射器主体的内部空间连通,该气体导入口向该内部空间导入所述成膜气体。
2.根据权利要求1所述的气体喷射器,其中,
所述气体导入管通过成为插入到所述内部空间的状态,与所述喷射器主体成为一体。
3.根据权利要求2所述的气体喷射器,其中,
所述气体导入口在插入到所述内部空间的所述气体导入管的上端面开口。
4.根据权利要求1所述的气体喷射器,其中,
设置有所述气体导入口的高度位置处于比所述多个气体供给孔中的、在最下方侧形成的所述气体供给孔高的位置。
5.根据权利要求1所述的气体喷射器,其中,
在所述气体导入管还设置有使所述成膜气体流动的流路变窄的节流部,以使导入到所述内部空间的所述成膜气体的压力相对于所述气体导入管内的所述成膜气体的压力降低。
6.一种立式热处理装置,其特征在于,
该立式热处理装置具备权利要求1~5中任一项所述的气体喷射器。
7.根据权利要求6所述的立式热处理装置,其中,
在所述反应容器的、从所述气体喷射器供给到所述反应容器内的所述成膜气体在该反应容器内朝向下方侧流动之后向外部排气的位置还设置有排气部。
8.根据权利要求6所述的立式热处理装置,其中,
该立式热处理装置还具备朝向所述气体导入管的所述气体接受口供给所述成膜气体的成膜气体供给部,所述成膜气体含有由于热而分解并在所述喷射器主体或所述气体导入管的内表面形成膜的成分。
CN201711121710.XA 2016-11-14 2017-11-14 气体喷射器和立式热处理装置 Active CN108070847B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-221523 2016-11-14
JP2016221523A JP6737139B2 (ja) 2016-11-14 2016-11-14 ガスインジェクタ、及び縦型熱処理装置

Publications (2)

Publication Number Publication Date
CN108070847A true CN108070847A (zh) 2018-05-25
CN108070847B CN108070847B (zh) 2021-05-07

Family

ID=62106396

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711121710.XA Active CN108070847B (zh) 2016-11-14 2017-11-14 气体喷射器和立式热处理装置

Country Status (5)

Country Link
US (1) US20180135179A1 (zh)
JP (1) JP6737139B2 (zh)
KR (1) KR102224424B1 (zh)
CN (1) CN108070847B (zh)
TW (1) TWI701737B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110396677A (zh) * 2019-06-26 2019-11-01 南京爱通智能科技有限公司 一种超大规模原子层沉积设备的快速加热方法
CN112126913A (zh) * 2019-06-25 2020-12-25 东京毅力科创株式会社 气体导入构造、热处理装置以及气体供给方法
CN113755823A (zh) * 2021-09-07 2021-12-07 北京北方华创微电子装备有限公司 半导体热处理设备的气体喷射装置及半导体热处理设备

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7023147B2 (ja) * 2018-03-13 2022-02-21 東京エレクトロン株式会社 断熱構造体及び縦型熱処理装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008792XA (en) * 2018-03-23 2020-10-29 Kokusai Electric Corp Substrate processing apparatus, method of manufacturing semiconductor device and program
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102559937B1 (ko) * 2018-09-12 2023-07-27 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 보지부, 반도체 장치의 제조 방법 및 프로그램
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP7109331B2 (ja) 2018-10-02 2022-07-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102256105B1 (ko) * 2019-12-13 2021-05-27 주식회사 금강쿼츠 반도체 제조에 사용되는 예열용 2중관 노즐
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP7325343B2 (ja) 2020-01-08 2023-08-14 東京エレクトロン株式会社 ガス供給構造及び基板処理装置
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220143222A (ko) 2021-04-15 2022-10-25 삼성전자주식회사 박막 증착 장치 및 박막 증착 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101435074A (zh) * 2002-04-05 2009-05-20 株式会社日立国际电气 基板处理装置
US20150275369A1 (en) * 2014-03-31 2015-10-01 Kabushiki Kaisha Toshiba Gas supply pipe, and gas treatment equipment

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH046825A (ja) * 1990-04-24 1992-01-10 Nec Corp 半導体成長装置
JP3541846B2 (ja) * 1992-05-22 2004-07-14 松下電器産業株式会社 半導体製造装置
KR100394571B1 (ko) * 1999-09-17 2003-08-14 삼성전자주식회사 화학기상증착용 튜브
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP2004363142A (ja) * 2003-06-02 2004-12-24 Sumitomo Mitsubishi Silicon Corp 縦型熱処理炉及び該熱処理炉のガス導入方法
US7132103B2 (en) * 2003-08-01 2006-11-07 Enhan Technology Holdings International Co., Ltd. Effects of sporoderm-broken germination activated ganoderma spores on treatment of spinal cord injury
JP4899744B2 (ja) 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
JP4879041B2 (ja) 2007-02-20 2012-02-15 株式会社日立国際電気 基板処理装置
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR101867364B1 (ko) * 2012-01-03 2018-06-15 삼성전자주식회사 배치 타입 반도체 장치
JP6113626B2 (ja) * 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101435074A (zh) * 2002-04-05 2009-05-20 株式会社日立国际电气 基板处理装置
US20150275369A1 (en) * 2014-03-31 2015-10-01 Kabushiki Kaisha Toshiba Gas supply pipe, and gas treatment equipment

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112126913A (zh) * 2019-06-25 2020-12-25 东京毅力科创株式会社 气体导入构造、热处理装置以及气体供给方法
CN110396677A (zh) * 2019-06-26 2019-11-01 南京爱通智能科技有限公司 一种超大规模原子层沉积设备的快速加热方法
CN113755823A (zh) * 2021-09-07 2021-12-07 北京北方华创微电子装备有限公司 半导体热处理设备的气体喷射装置及半导体热处理设备
CN113755823B (zh) * 2021-09-07 2023-10-13 北京北方华创微电子装备有限公司 半导体热处理设备的气体喷射装置及半导体热处理设备

Also Published As

Publication number Publication date
JP6737139B2 (ja) 2020-08-05
JP2018081956A (ja) 2018-05-24
TWI701737B (zh) 2020-08-11
KR20180054447A (ko) 2018-05-24
KR102224424B1 (ko) 2021-03-05
US20180135179A1 (en) 2018-05-17
TW201834062A (zh) 2018-09-16
CN108070847B (zh) 2021-05-07

Similar Documents

Publication Publication Date Title
CN108070847A (zh) 气体喷射器和立式热处理装置
US11970771B2 (en) Vaporizer, substrate processing apparatus and method for manufacturing semiconductor device
US7629256B2 (en) In situ silicon and titanium nitride deposition
US9574268B1 (en) Pulsed valve manifold for atomic layer deposition
US9011601B2 (en) Substrate processing apparatus
CN107267962B (zh) 用于处理多个基板的基板处理系统及方法
US20090325391A1 (en) Ozone and teos process for silicon oxide deposition
US8202809B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
CN105200393A (zh) 成膜装置和成膜方法
US20160024654A1 (en) Film Forming Apparatus
CN102376640B (zh) 半导体装置的制造方法、衬底处理方法及衬底处理装置
JP2007067119A (ja) 半導体製造装置
KR102164942B1 (ko) 가스 공급부, 기판 처리 장치 및 반도체 장치의 제조 방법
CN105789028A (zh) 半导体器件的制造方法及衬底处理装置
JP2016192528A (ja) 縦型熱処理装置
KR20200115208A (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
CN106356289A (zh) 气体供给喷嘴、衬底处理装置及半导体器件的制造方法
JP2022052622A (ja) 基板処理装置及び半導体装置の製造方法
CN109778143B (zh) 一种沉积系统及其气体传输方法
JPS6033352A (ja) 減圧cvd装置
KR101635085B1 (ko) 박막증착장치
CN107342246A (zh) 半导体制造装置以及半导体制造工艺罐
CN114561630A (zh) 半导体器件的制造方法、衬底处理方法、记录介质及衬底处理装置
JP6680190B2 (ja) 成膜装置
JP4464364B2 (ja) 半導体装置の製造方法および半導体製造装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant