JP2022052622A - 基板処理装置及び半導体装置の製造方法 - Google Patents

基板処理装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP2022052622A
JP2022052622A JP2020159119A JP2020159119A JP2022052622A JP 2022052622 A JP2022052622 A JP 2022052622A JP 2020159119 A JP2020159119 A JP 2020159119A JP 2020159119 A JP2020159119 A JP 2020159119A JP 2022052622 A JP2022052622 A JP 2022052622A
Authority
JP
Japan
Prior art keywords
tank
raw material
material gas
gas
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020159119A
Other languages
English (en)
Other versions
JP7203070B2 (ja
Inventor
由次 才記
Yuji Saiki
智志 谷山
Tomoshi Taniyama
昭典 田中
Akinori Tanaka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to JP2020159119A priority Critical patent/JP7203070B2/ja
Priority to KR1020210124469A priority patent/KR20220040402A/ko
Priority to US17/479,531 priority patent/US20220090258A1/en
Priority to CN202111113817.6A priority patent/CN114250453A/zh
Priority to TW110135271A priority patent/TWI804993B/zh
Publication of JP2022052622A publication Critical patent/JP2022052622A/ja
Application granted granted Critical
Publication of JP7203070B2 publication Critical patent/JP7203070B2/ja
Priority to US18/351,783 priority patent/US20230357920A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • C23C14/543Controlling the film thickness or evaporation rate using measurement on the vapor source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C5/00Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work
    • B05C5/02Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work the liquid or other fluent material being discharged through an outlet orifice by pressure, e.g. from an outlet device in contact or almost in contact, with the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C5/00Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work
    • B05C5/02Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work the liquid or other fluent material being discharged through an outlet orifice by pressure, e.g. from an outlet device in contact or almost in contact, with the work
    • B05C5/0225Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work the liquid or other fluent material being discharged through an outlet orifice by pressure, e.g. from an outlet device in contact or almost in contact, with the work characterised by flow controlling means, e.g. valves, located proximate the outlet
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】基板の面内膜厚均一性及び各基板間の膜厚均一性を高めることができる技術を提供する。【解決手段】液体で供給された原料を気化し原料ガスを生成する気化器91と、気化器91から取り出された原料ガスを蓄積するタンク(第1タンク95A,第2タンク95B)と、気化器91とタンクとを接続する配管47aに設けられ、タンクへ供給される原料ガスの流量を制御するフロー制御器100と、配管47aに設けられ、配管47aの流路を開閉する第1弁93A,93Bと、タンクの下流に設けられタンクで蓄積された原料ガスを放出させる第2弁97A,97Bと、第2弁97A,97Bの下流に設けられ、原料ガスが供給される処理室2と、原料ガスの気化器からタンクへの蓄積とタンクから処理室への放出とを交互に繰り返すよう制御する制御部と、を有する技術が提供される。【選択図】図3

Description

本開示は、基板処理装置及び半導体装置の製造方法に関するものである。
従来、基板処理装置の一例として、半導体装置を製造する半導体製造装置が知られている。また、半導体製造装置の一例として、特許文献1のような、複数の基板(以下、「ウエハ」ともいう)を上下方向に多段に保持した状態で処理する縦型装置が知られている。
この縦型装置では、例えば、複数のウエハを上下方向に多段に保持する基板保持部としてのボートが、ウエハを保持した状態で反応管内の処理室に搬入される。そして、例えば、成膜用化学ガスを反応管内に噴射又は充満させ、反応管内温度を制御しつつウエハを所定の温度で処理することによって、ウエハの表面上に所定の膜を形成する基板処理が行われる。成膜用化学ガスとしては、例えば、原料ガス、反応ガス及びキャリアガス等がある。また、成膜処理では、例えば、表面にトレンチ等の段差を有するウエハに対してステップカバレッジ(段差被覆性)を向上させるため、表面に原料ガスを吸着させるフラッシュ供給が行われる。
特開2020-004957号公報
近年、半導体デバイスの微細化に伴い、単一の基板の面内膜厚均一性及び各基板間の膜厚均一性の要求が大きくなっている。しかし、従来は、気化器からタンクへ送る原料ガスの流量が正確に制御されていなかったため、タンクから処理室に供給されるフラッシュ(フラッシュフロー)の流速が変動して、基板の面内膜厚均一性を適切に保持することが困難であった。
本開示は、上記に鑑みなされたものであって、基板の面内膜厚均一性及び各基板間の膜厚均一性を高めることが可能な技術を提供することを目的とする。
本開示の一態様によれば、液体で供給された原料を気化し原料ガスを生成する気化器と、気化器から取り出された原料ガスを蓄積するタンクと、気化器とタンクとを接続する配管に設けられ、タンクへ供給される原料ガスの流量を制御するフロー制御器と、配管に設けられ、配管の流路を開閉する第1弁と、タンクの下流に設けられタンクで蓄積された原料ガスを放出させる第2弁と、第2弁の下流に設けられ、原料ガスが供給される処理室と、原料ガスの前記気化器からタンクへの蓄積とタンクから前記処理室への放出とを交互に繰り返すよう第1弁と第2弁とを制御する制御部と、を有する技術が提供される。
本開示によれば、基板の面内膜厚均一性及び各基板間の膜厚均一性を高めることができる。
本開示の一実施形態に係る基板処理装置の縦型処理炉の概略構成を示す縦断面図である。 図1におけるA-A線概略横断面図である。 本開示の一実施形態に係る基板処理装置の一部を示す概略図である。 本開示の一実施形態に係るマスフロー制御器の概略構成を示す図である。 本開示の一実施形態に係る基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。 本開示の一実施形態に係る基板処理工程のフローチャートである。 本開示の一実施形態に係る基板処理工程におけるガス供給のタイミングを示す図である。 本開示の一実施形態の第1タンク及び第2タンクにおけるそれぞれの原料ガスの蓄積量の変化を時間の経過に伴って説明するグラフ図である。
<基板処理装置の構造>
図1、図2は、本開示が実施される処理装置の一例である基板処理装置に用いられる縦型の処理炉29を示すものである。先ず、図1により本開示が適用される基板処理装置の動作の概略を説明する。なお、以下の説明において用いられる図面は、いずれも模式的なものであり、図面に示される、各要素の寸法の関係、各要素の比率等は、現実のものとは必ずしも一致していない。また、複数の図面の相互間においても、各要素の寸法の関係、各要素の比率等は必ずしも一致していない。
保持具としてのボート32に所定枚数の被処理体としてのウエハ31が移載されて装填されると、ボートエレベータによりボート32が上昇され、ボート32が処理炉29内部に搬入される。ボート32が完全に搬入された状態では、シールキャップ35により処理炉29が気密に閉塞される。気密に閉塞された処理炉29内では、選択された処理レシピに従い、ウエハ31が加熱されると共に処理ガスが処理炉29内に供給され、ガス排気管66から図示しない排気装置によって処理室2の雰囲気が排出されつつ、ウエハ31に処理がなされる。
次に、図1、図2により処理炉29について説明する。加熱装置(加熱手段)であるヒータ42の内側に反応管1が設けられ、反応管1の下端には、例えばステンレス等によりマニホールド44が気密部材であるOリング46を介して連設され、マニホールド44の下端開口部(炉口部)は蓋体であるシールキャップ35により気密部材であるOリング18を介して気密に閉塞され、少なくとも、反応管1、マニホールド44及びシールキャップ35により処理室2を画成している。
シールキャップ35にはボート支持台45を介してボート32が立設され、ボート支持台45はボート32を保持する保持体となっている。
処理室2へは複数種類、ここでは2種類の処理ガスを供給する供給経路としての2本のガス供給管(第1ガス供給管47、第2ガス供給管48)が設けられている。
第1ガス供給管47には上流から順に、原料ユニット71、気化器91、液体の流量制御装置(流量制御手段)である第1マスフローコントローラ(以後、MFCともいう。)100が設けられている。第1MFC100は、本開示の「フロー制御器」に相当する。第1MFC100の下流側で、第1ガス供給管47の供給管47aには、2本の配管が並列に接続されている。2本の配管のそれぞれには、開閉弁である第1弁93A,93Bと第2弁97A,97Bとが設けられている。また、第1弁93A,93Bと第2弁97A,97Bとの間には、第1タンク95A及び第2タンク95Bが設けられている。本実施形態では、1個の第1MFC100が、第1タンク95A及び第2タンク95Bに対して共通して用いられることになる。
特に、ガス供給バルブとしての第2弁97A,97Bの下流側には、キャリアガスを供給する第1キャリアガス供給管53が合流される。第1キャリアガス供給管53には上流から順に、キャリアガス源72、流量制御装置(流量制御手段)である第2MFC54、及び開閉弁であるバルブ55が設けられている。また、第1ガス供給管47の先端部には、反応管1の内壁に沿って下部から上部に亘り、第1ノズル56が設けられ、第1ノズル56の側面にはガスを供給する第1ガス供給孔57が設けられている。第1ガス供給孔57は、下部から上部に亘って等ピッチで設けられ、それぞれ同一の開口面積を有している。なお、キャリアガス源72から供給される不活性ガスであるキャリアガス(例えば、N2ガス)は、バルブ77を介して原料ユニット71と第1MFC100の間の供給管47aに、供給配管76により供給可能に構成されている。
本実施形態の説明においては、第1ガス供給管47のうち、第2弁97A,97Bよりも上流であって、第2弁97A,97Bが設けられている2本の配管を含む、原料ユニット71との間に設けられた配管を供給管47aとする。また、第1ガス供給管47のうち、第2弁97A,97Bの下流側を供給管47bとする。
ここで、第1ガス供給管47、気化器91、第1MFC100、第1弁93A,93B、第1タンク95A、第2タンク95B、及び第2弁97A,97Bをまとめて第1ガス供給部(第1ガス供給ライン)と呼ぶ。また、第1ノズル56を含めて第1ガス供給部としてもよい。尚、第1キャリアガス供給管53、第2MFC54、バルブ55を第1ガス供給部に含めても良い。更には、原料ユニット71、キャリアガス源72を第1ガス供給部に含めても良い。
第2ガス供給管48には上流方向から順に、反応ガス源73、流量制御装置(流量制御手段)である第3MFC58、開閉弁であるバルブ59が設けられ、バルブ59の下流側にキャリアガスを供給する第2キャリアガス供給管61が合流されている。第2キャリアガス供給管61には上流から順に、キャリアガス源74、流量制御装置(流量制御手段)である第4MFC62、及び開閉弁であるバルブ63が設けられている。第2ガス供給管48の先端部には、第1ノズル56と平行に第2ノズル64が設けられ、第2ノズル64の側面にはガスを供給する供給孔である第2ガス供給孔65が設けられている。第2ガス供給孔65は、下部から上部に亘って等ピッチで設けられ、それぞれ同一の開口面積を有している。
ここで、第2ガス供給管48、第3MFC58、バルブ59、第2ノズル64をまとめて第2ガス供給部(第2ガス供給ライン)と呼ぶ。尚、第2キャリアガス供給管61、第4MFC62、バルブ63を第2ガス供給部に含めても良い。更には、反応ガス源73、キャリアガス源74を第2ガス供給部に含めても良い。
原料ユニット71から供給される液体原料は、気化器91、第1MFC100、第1弁93A,93B、第1タンク95A、第2タンク95B、及び第2弁97A,97Bを介し、第1キャリアガス供給管53と合流し、更に第1ノズル56を介して処理室2内に供給される。なお、液体原料が処理室2内に供給される際は、気化器91にて気化された状態の原料ガスとして供給される。また、反応ガス源73から供給される反応ガスは、第3MFC58、バルブ59を介し、第2キャリアガス供給管61と合流し、更に第2ノズル64を介して処理室2に供給される。なお供給配管76とバルブ77は、第1ガス供給部から原料ガスをパージする際に使用される。
処理室2は、ガスを排気するガス排気管66を介して排気装置(排気手段)である真空ポンプ68に接続され、真空排気される。尚、圧力調整バルブとしてのバルブ67は、弁を開閉して処理室2の真空排気及び真空排気停止が可能であり、更に、弁開度を調節して圧力調整可能な開閉弁である。
シールキャップ35にはボート回転機構69が設けられ、ボート回転機構69は、処理の均一性を向上する為にボート32を回転する。
次に、本実施形態に係る管理対象となる第1ガス供給ラインの各構成について、図3及び図4を参照して具体的に説明する。なお、図3は、原料ガスを供給するための供給管47aの要部を拡大した図である。
(気化器)
気化器91は、液体で供給された原料を加熱して気化し原料ガスを生成する。原料としては、例えば、モノクロロシラン(SiHCl、略称:MCS)ガス、ジクロロシラン(SiHCl、略称:DCS)ガス、トリクロロシラン(SiHCl、略称:TCS)ガス、テトラクロロシラン(SiCl、略称:STC)ガス、ヘキサクロロジシランガス(SiCl、略称:HCDS)ガス、オクタクロロトリシラン(SiCl、略称:OCTS)ガス等のクロロシラン系ガスを用いることができる。また、原料ガスとしては、例えば、テトラフルオロシラン(SiF)ガス、ジフルオロシラン(SiH)ガス等のフルオロシラン系ガス、テトラブロモシラン(SiBr)ガス、ジブロモシラン(SiHBr)ガス等のブロモシラン系ガス、テトラヨードシラン(SiI)ガス、ジヨードシラン(SiH)ガス等のヨードシラン系ガスを用いることもできる。また、原料ガスとしては、例えば、テトラキス(ジメチルアミノ)シラン(Si[N(CH、略称:4DMAS)ガス、トリス(ジメチルアミノ)シラン(Si[N(CHH、略称:3DMAS)ガス、ビス(ジエチルアミノ)シラン(Si[N(C、略称:BDEAS)ガス、ビス(ターシャリーブチルアミノ)シラン(SiH[NH(C)]、略称:BTBAS)ガス等のアミノシラン系ガスを用いることもできる。また、原料ガスとしては、例えば、テトラエトキシシラン(Si(OC)、略称:TEOS)ガス等の有機系シラン原料ガスを用いることもできる。原料ガスとしては、これらのうち1以上を用いることができる。つまり、加圧や冷却によって液体で貯蔵される原料も含まれうる。また、本実施形態では、気化器91は、第1タンク95A及び第2タンク95Bに対し、キャリアガスを供給することなく、原料ガスのみを供給する。
(タンク)
第1タンク95A及び第2タンク95Bは、気化器91から取り出された原料ガスを蓄積する。本実施形態では、第1タンク95Aと第2タンク95Bとの2個のタンクが並列に設けられており、2個のタンクを交互に用いて原料ガスの蓄積及び放出が行われる。
なお、本開示では、タンクの個数は、2個に限定されず、3個以上、任意に設定できる。また、タンクが3個以上の場合、原料ガスの蓄積及び放出は、3個以上のタンクを巡回的に用いて行われる。すなわち、本開示の「交互」には、3個以上のタンクを巡回的に使用する場合が含まれる。
(第1弁、第2弁)
第1弁93A,93B及び第2弁97A,97Bは、配管(供給管47a)に設けられ、配管の流路を開閉する。第1弁93A,93Bは、第1タンク95A及び第2タンク95Bの上流にそれぞれ設けられている。第1弁93A,93Bの開閉動作によって、第1タンク95A及び第2タンク95Bへの原料ガスの蓄積が制御される。また、第2弁97A,97Bは、第1タンク95A及び第2タンク95Bの下流にそれぞれ設けられている。第2弁97A,97Bの開閉動作によって、第1タンク95A及び第2タンク95Bで蓄積された原料ガスの処理室2への放出が制御される。
(第1MFC)
図4に示すように、第1MFC100は、プレフィルタ101と、制御弁102と、第1圧力センサ103と、温度センサ105と、オリフィス107と、第2圧力センサ109と、制御部111と、を有する。なお、図示を省略するが、第1MFC100は、制御弁102の後段に、配管の流路を開閉する開閉弁が設けられている。
制御部111には、第1圧力センサ103、温度センサ105及び第2圧力センサ109が接続されている。また、制御部111には、開閉弁、第1弁93A,93B及び第2弁97A,97Bが接続されている。また、制御部111は、後述するコントローラ41(図5参照)に接続されている。制御部111は、下流側に流れる原料ガスの流量を所定値に制御すると共に、原料ガスの第1タンク95A及び第2タンク95Bへの蓄積と第1タンク95A及び第2タンク95Bからの放出とを交互に繰り返すよう制御する。なお、制御部111とコントローラ41とは、別々ではなく、一体的に実現されてもよい。
本実施形態の第1MFC100は、オリフィス内のチョーク流れを利用する圧力制御式であり、気化器91の圧力変動に対して第1タンク95A及び第2タンク95Bへの原料ガスの流量を一定に保つことが可能であるように構成されている。また、それぞれのタンク内の圧力が、第1MFC100内のオリフィス内のチョーク流れ条件を満たす圧力値を維持するように、第1タンク95A及び第2タンク95Bにおける原料ガスの蓄積時間とフラッシュ周期とが制御されている。
具体的には、オリフィス上流側の気化器91からの原料ガスの供給圧力をP1、オリフィス下流側のタンク内の圧力P2としたとき、圧力P2は、「P1≧2P2」のオリフィス内のチョーク流れ条件式を満たす圧力値に維持される。
図5に示すように、基板処理装置は、各部の動作を制御するコントローラ41を有している。
コントローラ41の概略を図5に示す。制御部(制御手段)であるコントローラ41は、CPU(Central Processing Unit)41a、RAM(Random Access Memory)41b、記憶装置41c、I/Oポート41dを備えたコンピュータとして構成されている。RAM41b、記憶装置41c、I/Oポート41dは、内部バス41eを介して、CPU41aとデータ交換可能なように構成されている。コントローラ41には、例えばタッチパネル等として構成された入出力装置411や、外部記憶装置412が接続可能に構成されている。更に、上位装置75にネットワークを介して接続される受信部413が設けられる。受信部413は、上位装置75から他の装置の情報を受信することが可能である。
記憶装置41cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置41c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件などが記載されたプロセスレシピや、補正レシピ等が読み出し可能に格納されている。なお、プロセスレシピや、補正レシピは、基板処理モードで実施される基板処理工程や、特性確認工程における各手順をコントローラ41に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。なお、本明細書においてプログラムという言葉を用いた場合は、プロセスレシピや、補正レシピのみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。また、RAM41bは、CPU41aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート41dは、昇降部材、ヒータ、マスフローコントローラ、バルブ等に接続されている。
制御部であるコントローラ41は、基板処理装置が備えるMFCの流量調整、バルブの開閉動作、ヒータの温度調整、真空ポンプの起動及び停止、ボート回転機構の回転速度調節、ボート昇降機構の昇降動作制御、圧力計80の動作制御等を行う。
本実施形態の管理対象である第1ガス供給ラインの第1弁93A,93B及び第2弁97A,97Bは、コントローラ41に接続されている。コントローラ41は、本開示の「制御部」に相当し、原料ガスの第1タンク95A及び第2タンク95Bへの蓄積と、第1タンク95A及び第2タンク95Bからの放出とを交互に繰り返すよう第1弁93A,93Bと第2弁97A,97Bとを制御する。
なお、コントローラ41は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていても良い。例えば、上述のプログラムを格納した外部記憶装置(例えば、USBメモリやメモリカード等の半導体メモリ等)412を用意し、係る外部記憶装置412を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態に係るコントローラ41を構成することができる。なお、コンピュータにプログラムを供給するための手段は、外部記憶装置412を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置412を介さずにプログラムを供給するようにしても良い。なお、記憶装置41cや外部記憶装置412は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において、記録媒体という言葉を用いた場合は、記憶装置41c単体のみを含む場合、外部記憶装置412単体のみを含む場合、または、その両方を含む場合が有る。
<基板処理方法>
次に、基板を処理する例について説明する。ここでは、半導体デバイスの製造工程の一例として、ソース(原料)とリアクタント(反応ガス)を交互に処理室に供給することで膜処理を行うサイクル処理を説明する。本実施形態においては、ソースの一例としてSi原料ガスを用い、リアクタントとして窒素含有ガスを用いて、基板上でシリコン窒化膜(Si膜、以下、SiN膜ともいう)を形成する例を説明する。
本実施形態における成膜処理では、処理室2のウエハ31に対して原料ガスを供給する工程(成膜工程1:図6中のステップS3)と、処理室2から原料ガス(残留ガス)を除去するパージ工程(成膜工程2:図6中のステップS4)と、処理室2のウエハ31に対して窒素含有ガスを供給する工程(成膜工程3:図6中のステップS5)と、処理室2から窒素含有ガス(残留ガス)を除去するパージ工程(成膜工程4:図6中のステップS6)と、を非同時に行うサイクルを所定回数(1回以上)行うことで、ウエハ31上にSiN膜を形成する。
先ず、上述した様にウエハ31をボート32に装填し、処理室2に搬入する(図6中のステップS1)。このとき、図1に記載のように、第1タンク95A及び第2タンク95Bは、原料ユニット71に接続される。ボート32を処理室2に搬入後、処理室2内の圧力及び温度を調整する(図6中のステップS2)。次に、成膜工程1~4の4つのステップを順次実行する。以下、それぞれのステップを詳細に説明する。
(成膜工程1)
成膜工程1では、図7に示すように、まず、原料ガスを瞬間的(比較的短時間)に放出するフラッシュ供給する動作を間欠的に行うことによって、ウエハ31の表面上に原料ガスを吸着させる。具体的には、第1ガス供給ラインにおいて、第1タンク95Aの上流側の第1弁93Aを開き、下流側の第2弁97Aを閉じた状態で、第1MFC100によって、気化器91で気化された原料ガスを第1タンク95Aへ供給する。このとき、第1タンク95Aに供給される原料ガス蓄積量が、図8中の0sec~1secの間に、実線の斜線で例示されている。なお、この間、第2タンク95Bの上流側の第1弁93Bは閉じられており、第2タンク95Bへの原料ガスの供給は停止している。
ここで、本実施形態では、第1タンク95Aを用いたフラッシュ供給の際、フラッシュに最低限必要な量以上の原料ガスを蓄積可能であるように、原料ガスの蓄積時間が決定されている。具体的には、原料ガスの第1タンク95Aへの蓄積時間は、図8に示すように、約1秒間である。また、蓄積の際の流量は、標準気体換算流量で3slmに換算して、約40~50cc/secの範囲内の一定の流量で設定されている。原料ガスの蓄積時間は、原料ガスが一定の流量で所定の蓄積量となるまで行うために必要な時間以上に設定される。
第1タンク95A内に、所定の量の原料ガスが蓄積すると、上流側の第1弁93Aを閉じ、下流側の第2弁97Aを開いて、第1タンク95Aから原料ガスを放出させ、処理室2に原料ガスをフラッシュ供給する。このフラッシュ供給は、図8中の1secのときに、実線の縦線で例示されている。第1タンク95Aに蓄積された原料ガスは、第1ノズル56によって、第1タンク95Aへの蓄積時間よりも短い時間で、減圧された処理室2内に吐出され、処理室2にフラッシュ供給される。第1タンク95Aからの原料ガスの放出は、瞬間的に終了し、放出後、第1タンク95A内の原料ガスの蓄積量は、ほぼ零(ゼロ)となる。
第1タンク95Aからの原料ガスの放出が終了すると、ほぼ同時に、並列配置されている第2タンク95Bの上流側の第1弁93Bを開くと共に、下流側の第2弁97Bを閉じることによって、第2タンク95Bへ原料ガスを供給する。このとき、第2タンク95Bに供給される原料ガス蓄積量が、図8中の1sec~2secの間に、破線の斜線で例示されている。なお、この間、第1タンク95Aの上流側の第1弁93Aは閉じられており、第1タンク95Aへの原料ガスの供給は停止している。
第2タンク95Bを用いたフラッシュ供給の際も、第1タンク95Aの場合と同様に、フラッシュに最低限必要な量以上の原料ガスを蓄積可能であるように、原料ガスの蓄積時間が決定されている。原料ガスの第2タンク95Bへの蓄積時間は、図8に示すように、約1秒間である。また、蓄積の際の流量は、標準気体換算流量で3slmに換算して、約40~50cc/secの範囲内の一定の流量で設定されている。原料ガスの蓄積時間は、第1タンク95Aの場合と同様に、原料ガスが一定の流量で所定の蓄積量となるまで行うために必要な時間以上に設定される。
第2タンク95B内に、所定の量の原料ガスが蓄積すると、上流側の第1弁93Bを閉じ、下流側の第2弁97Bを開いて、第2タンク95Bから原料ガスを放出させ、処理室2に原料ガスをフラッシュ供給する。第2タンク95Bに蓄積された原料ガスは、第1ノズル56によって、第2タンク95Bへの蓄積時間よりも短い時間で、減圧された処理室2内に吐出され、処理室2にフラッシュ供給される。第2タンク95Bからの原料ガスの放出は、瞬間的に終了し、第2タンク95B内の原料ガスの蓄積量は、ほぼ零(ゼロ)となる。
以下、第1タンク95Aと第2タンク95Bとが同様の動作を交互に繰り返すことによって、原料ガスが、繰り返しフラッシュ供給される。本実施形態では、フラッシュ周期は、約1秒間であり、それぞれのフラッシュで、約50ccの原料ガスが放出される。本実施形態では、第1タンク95A及び第2タンク95Bにおける原料ガスの蓄積(充填)と放出と繰り返すと共に、第1タンク95Aと第2タンク95Bとを交互に用いることによって、放出時には、瞬間的に大流量ガスをフラッシュ供給することが可能になる。結果、数秒単位の短時間で、ウエハ31の表面における隅々まで、原料ガスを行き渡らせることができる。このときの、ウエハ31の表面における流速は、タンクの容積と、各タンクよりも下流における第1ガス供給管47及び第1ガス供給孔57の形状や大きさに依存するが、これらは基本的に変動しないので、蓄積量が同じであれば、毎回同じパルス波形の流速が達成される。
なお、各タンクからの放出は、蓄積の完了直後に行うものに限らず、蓄積の完了から、次の開始までの時間内であれば任意のタイミングで行うことができる。例えば、第1タンク95Aからの放出を次の蓄積の開始の直前まで遅らせることで、第2タンク95Bからの放出と実質的に連続するようなフラッシュ供給を行うことができ、或いは各タンクからの放出を同じタイミングで行うこともできる。
(成膜工程2)
成膜工程2では、第1ガス供給管47の第2弁97A,97B及び第1キャリアガス供給管53のバルブ55を閉めて、原料ガスとキャリアガスの供給を止める。ガス排気管66のバルブ67は開いたままにし、真空ポンプ68により、処理炉29を20Pa以下に排気し、残留原料ガスを処理室2内から排除する。又、この時には不活性ガス、例えばキャリアガスとして使ったN2ガスを処理炉29に供給すると、更に残留原料ガスを排除する効果が高まる。
(成膜工程3)
成膜工程3では、窒素含有ガスとキャリアガスを流す。まず第2ガス供給管48に設けたバルブ59、第2キャリアガス供給管61に設けたバルブ63を共に開けて、第2ガス供給管48から第3MFC58により流量調整された窒素含有ガスと、第2キャリアガス供給管61から第3MFC62により流量調整されたキャリアガスとを混合し、第2ノズル64の第2ガス供給孔65から処理室2内に供給しつつガス排気管66から排気する。窒素含有ガスの供給により、ウエハ31の下地膜上のSiを含む膜と窒素含有ガスとが反応して、ウエハ31上にSiN膜が形成される。
(成膜工程4)
成膜工程4では、膜を形成後、バルブ59及びバルブ63を閉じ、真空ポンプ68により処理室2内を真空排気し、成膜に寄与した後に残留する窒素含有ガスを排除する。又、この時には不活性ガス、例えばキャリアガスとして使ったN2ガスを処理室2内に供給すると、更に残留する窒素含有ガスを処理室2から排除する効果が高まる。
そして、上述した成膜工程1~4を1サイクルとし、図6中のステップS7において、成膜工程1~4のサイクルを所定回数実施することにより、ウエハ31上に所定の膜厚のSiN膜を形成することができる。本実施形態では、成膜工程1~4は複数回繰返される。
上述の成膜処理が完了した後、図6中のステップS8において、処理室2内の圧力を常圧(大気圧)に復帰させる。具体的には、例えば、Nガス等の不活性ガスを処理室2内へ供給して排気する。これにより、処理室2内が不活性ガスでパージされ、処理室2内に残留するガス等が処理室2内から除去される(不活性ガスパージ)。その後、処理室2内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室2内の圧力が常圧(大気圧)に復帰される。そして、図6中のステップS9において、処理室2からウエハ31(基板)を搬出すれば、本実施形態に係る基板処理が終了する。
(作用効果)
本実施形態では、第1タンク95A及び第2タンク95Bへ蓄積される原料ガスの流量が第1MFC100によって所定値に制御されるので、第1タンク95A及び第2タンク95Bに正確な量の原料ガスを蓄積できる。このため、原料ガスが処理室へ繰り返し供給されても、それぞれの量の間にムラが生じ難く、それぞれの量を一定に保持し易い。このため、基板の表面上に形成される膜のステップカバレッジ及び再現性が向上するので、基板の面内膜厚均一性及び各基板間の膜厚均一性を高めることができる。特に、蒸気圧の低いガスであっても、フラッシュフローの流速を正確、かつ、高めて放出することが可能になる点で有利である。
また、本実施形態では、2個のタンクを用いるので、一方のタンクが放出後、次の放出のための充填の間に、他方のタンクに蓄積された原料ガスを放出することが可能になる。2個のタンクを交互に用いたフラッシュ供給によって、1個のタンクのみを用いる場合に比べ、原料ガスの蓄積及び放出を安定的に行うことができる。また、2個のタンクを交互に用いたフラッシュ供給によって、第1MFC100の最大流量に限定されることなく、大流量ガスを連続的に供給することができる。なお、気化器91内の気化タンクの容量を拡大したり、制御弁の本数を1本から2本に増加したり、流路のオリフィス107の大口径化を図ったりすることによって、フラッシュ供給の更なる大流量化を図ることもできる。
また、本実施形態では、原料ガスの蓄積時間が、一定の流量で所定の蓄積量となるまで行うために必要な時間によって決定される。このため、原料ガスの第1タンク95A及び第2タンク95Bへの蓄積、並びに、第1タンク95A及び第2タンク95Bからの放出をより適切に制御し、ウエハ31の品質を確保できる。
また、本実施形態では、第1ノズル56によって原料ガスを減圧された処理室2内に吐出するので、基板の面内膜厚均一性及び各基板間の膜厚均一性を高めたフラッシュ供給を行うことができる。
また、本実施形態では、1個の第1MFC100が、2個のタンクに対して共通して用いられるため、第1MFC100を複数用意する必要がなく、構造を簡易にできる。
また、本実施形態では、フラッシュ供給において、原料ガスのみが第1タンク95A及び第2タンク95Bに供給され、反応ガスは供給されない。反応ガスが混入しない、原料ガスのみを用いたフラッシュ供給によって、ウエハ31表面への原料ガスの吸着を円滑に実行できる。
また、本実施形態では、圧力制御式の第1MFC100によって、気化器91の圧力変動に対して第1タンク95A及び第2タンク95Bへの原料ガスの流量を一定に保つことが可能であるため、原料ガスの流量をより正確に制御できる。
また、本実施形態では、第1MFC100内のオリフィス107内のチョーク流れ条件を満たす圧力値が維持されるので、第1タンク95A及び第2タンク95Bにおける原料ガスの蓄積時間とフラッシュ周期とをより正確に制御できる。
(他の実施形態)
以上、本開示の実施形態を具体的に説明したが、本開示は上述の各実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、本実施形態では、基板処理装置において1個の気化器91と1個のマスフロー制御器(第1MFC100)とが設けられた場合が例示されたが、本開示では、これに限定されない。図示を省略するが、複数の気化器と複数のマスフロー制御器とが、並列配置されてもよい。また、本開示の制御部は、複数の気化器と複数のマスフロー制御器との連動動作を制御することによって、1フラッシュに必要な原料ガスの量をフラッシュ周期内にタンクに蓄積するために必要な原料ガスの流量を確保するように構成されてもよい。並列配置された複数の気化器と複数のマスフロー制御器との連動動作によって、より円滑なフラッシュ供給を図ることができる。
また、例えば、上述の各実施形態では、基板処理装置が行う成膜処理として、ソース(液体原料)として原料ガスを用い、リアクタント(反応ガス)として窒素含有ガスを用いて、それらを交互に供給することによってウエハ31上にSiN膜を形成する場合を例にあげたが、本開示がこれに限定されることはない。
窒素含有ガスとしては、亜酸化窒素(NO)ガス、一酸化窒素(NO)ガス、二酸化窒素(NO)ガス、アンモニア(NH)ガス等のうち1以上を用いることができる。
また、リアクタントとしては、窒素含有ガスに限らず、ソースと反応して膜処理を行うガスを用いて他の種類の薄膜を形成しても構わない。さらには、3種類以上の処理ガスを用いて成膜処理を行ってもよい。
また、例えば、上述した各実施形態では、基板処理装置が行う処理として半導体装置における成膜処理を例にあげたが、本開示がこれに限定されることはない。本開示の技術は、高アスペクト比の(つまり幅よりも深さが大きい)パターンが形成された被処理体を気化したガスに曝露して行う全ての処理に適用されうる。すなわち、成膜処理の他、酸化膜、窒化膜を形成する処理、金属を含む膜を形成する処理であってもよい。また、基板処理の具体的内容は不問であり、成膜処理だけでなく、アニール処理、酸化処理、窒化処理、拡散処理、リソグラフィ処理等の他の基板処理にも好適に適用できる。
さらに、本開示は、他の基板処理装置、例えばアニール処理装置、酸化処理装置、窒化処理装置、露光装置、塗布装置、乾燥装置、加熱装置、プラズマを利用した処理装置等の他の基板処理装置にも好適に適用できる。また、本開示は、これらの装置が混在していてもよい。
また、本実施形態では、半導体製造プロセスについて説明したが、本開示は、これに限定されるものではない。例えば、液晶デバイスの製造工程、太陽電池の製造工程、発光デバイスの製造工程、ガラス基板の処理工程、セラミック基板の処理工程、導電性基板の処理工程、などの基板処理に対しても本開示を適用できる。
また、ある実施形態の構成の一部を他の実施形態の構成に置き換えることが可能であり、また、ある実施形態の構成に他の実施形態の構成を加えることも可能である。また、各実施形態の構成の一部について、他の構成の追加、削除、置換をすることも可能である。
また、上述の実施形態では、不活性ガスとして、Nガスを用いる例について説明しているが、これに限らず、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いてもよい。但し、この場合、希ガス源の準備が必要である。また、この希ガス源を第1ガス供給管47に繋ぎ、希ガスを導入可能なように構成する必要がある。
<本開示の好ましい態様>
以下に、本開示の好ましい態様について付記する。
<付記1>
一態様によれば、
液体で供給された原料を気化し原料ガスを生成する気化器と、
前記気化器から取り出された前記原料ガスを蓄積するタンクと、
前記気化器と前記タンクとを接続する配管に設けられ、前記タンクへ供給される前記原料ガスの流量を制御するフロー制御器と、
前記配管に設けられ、前記配管の流路を開閉する第1弁と、
前記タンクの下流に設けられ前記タンクで蓄積された前記原料ガスを放出させる第2弁と、
前記第2弁の下流に設けられ、前記原料ガスが供給される処理室と、
前記原料ガスの前記気化器から前記タンクへの蓄積と前記タンクから前記処理室への放出とを交互に繰り返すよう前記第1弁と前記第2弁とを制御する制御部と、
を有する基板処理装置が提供される。
<付記2>
付記1に記載の基板処理装置であって、好ましくは、
前記タンクは複数であり、
複数の前記タンクを交互に用いて前記原料ガスの蓄積及び放出を行う。
<付記3>
付記11又は2に記載の基板処理装置であって、好ましくは、
前記フロー制御器はマスフロー制御器であり、
前記原料ガスの前記タンクへの蓄積時間は、前記原料ガスが一定の流量で所定の蓄積量となるまで行うために必要な時間によって決定される。
<付記4>
付記1に記載の基板処理装置であって、好ましくは、
前記処理室内に設けられ、前記第2弁から放出された前記原料ガスを、減圧された前記処理室内に吐出するノズルを更に備え、
前記ノズルによって、前記タンクに蓄積された前記原料ガスを、前記タンクへの蓄積時間よりも短い時間で前記処理室にフラッシュ供給する。
<付記5>
付記2に記載の基板処理装置であって、好ましくは、
1個の前記マスフロー制御器が、複数の前記タンクに対して共通して用いられる。
<付記6>
付記2に記載の基板処理装置であって、好ましくは、
複数の前記気化器と複数の前記マスフロー制御器とが並列配置され、
前記制御部は、複数の前記気化器と複数の前記マスフロー制御器との連動動作によって、1フラッシュに必要な前記原料ガスの量をフラッシュ周期内に前記タンクに蓄積するために必要な前記原料ガスの流量を確保する。
<付記7>
付記2に記載の基板処理装置であって、好ましくは、
前記気化器は、キャリアガスを使用することなく、前記原料ガスのみを前記タンクに供給する。
<付記8>
付記2に記載の基板処理装置であって、好ましくは、
前記マスフロー制御器は、オリフィス内のチョーク流れを利用する圧力制御式であり、
前記気化器の圧力変動に対して前記タンクへの前記原料ガスの流量を一定に保つことが可能であるように構成される。
<付記9>
付記8に記載の基板処理装置であって、好ましくは、
前記タンク内の圧力が、前記マスフロー制御器内のオリフィス内のチョーク流れ条件を満たす圧力値を維持するように、前記タンクにおける前記原料ガスの蓄積時間とフラッシュ周期とが制御される。
<付記10>
他の態様によれば、
気化器にて液体で供給された原料を気化し原料ガスを生成する工程と、
前記気化器とタンクとを接続する配管に設けられた第1弁を開とすると共に、前記配管に設けられたフロー制御器によって、前記タンクへ供給される前記原料ガスの流量を制御して、前記タンクに前記原料ガスを蓄積する工程と、
前記タンクの下流に設けられた第2弁を開として、前記第2弁の下流に設けられた処理室に前記原料ガスを供給する工程と、
前記原料ガスの前記気化器から前記タンクへの蓄積と前記タンクから前記処理室への放出とを交互に繰り返すよう前記第1弁と前記第2弁とを制御する工程と、
を有する半導体装置の製造方法が提供される。
2 処理室
47a 配管
56 第1ノズル
91 気化器
95A 第1タンク
95B 第2タンク
93A,93B 第1弁
97A,97B 第2弁
100 マスフロー制御器
111 制御部

Claims (5)

  1. 液体で供給された原料を気化し原料ガスを生成する気化器と、
    前記気化器から取り出された前記原料ガスを蓄積するタンクと、
    前記気化器と前記タンクとを接続する配管に設けられ、前記タンクへ供給される前記原料ガスの流量を制御するフロー制御器と、
    前記配管に設けられ、前記配管の流路を開閉する第1弁と、
    前記タンクの下流に設けられ前記タンクで蓄積された前記原料ガスを放出させる第2弁と、
    前記第2弁の下流に設けられ、前記原料ガスが供給される処理室と、
    前記原料ガスの前記気化器から前記タンクへの蓄積と前記タンクから前記処理室への放出とを交互に繰り返すよう前記第1弁と前記第2弁とを制御する制御部と、
    を有する基板処理装置。
  2. 前記タンクは複数であり、
    複数の前記タンクを交互に用いて前記原料ガスの蓄積及び放出を行う、
    請求項1に記載の基板処理装置。
  3. 前記フロー制御器はマスフロー制御器であり、
    前記原料ガスの前記タンクへの蓄積時間は、前記原料ガスが一定の流量で所定の蓄積量となるまで行うために必要な時間によって決定される、
    請求項1又は2に記載の基板処理装置。
  4. 前記処理室内に設けられ、前記第2弁から放出された前記原料ガスを、減圧された前記処理室内に吐出するノズルを更に備え、
    前記ノズルによって、前記タンクに蓄積された前記原料ガスを、前記タンクへの蓄積時間よりも短い時間で前記処理室にフラッシュ供給する、
    請求項1に記載の基板処理装置。
  5. 気化器にて液体で供給された原料を気化し原料ガスを生成する工程と、
    前記気化器とタンクとを接続する配管に設けられた第1弁を開とすると共に、前記配管に設けられたフロー制御器によって、前記タンクへ供給される前記原料ガスの流量を制御して、前記タンクに前記原料ガスを蓄積する工程と、
    前記タンクの下流に設けられた第2弁を開として、前記第2弁の下流に設けられた処理室に前記原料ガスを供給する工程と、
    前記原料ガスの前記気化器から前記タンクへの蓄積と前記タンクから前記処理室への放出とを交互に繰り返すよう前記第1弁と前記第2弁とを制御する工程と、
    を有する半導体装置の製造方法。

JP2020159119A 2020-09-23 2020-09-23 基板処理装置、基板処理方法及び半導体装置の製造方法 Active JP7203070B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2020159119A JP7203070B2 (ja) 2020-09-23 2020-09-23 基板処理装置、基板処理方法及び半導体装置の製造方法
KR1020210124469A KR20220040402A (ko) 2020-09-23 2021-09-17 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US17/479,531 US20220090258A1 (en) 2020-09-23 2021-09-20 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory tangible medium
CN202111113817.6A CN114250453A (zh) 2020-09-23 2021-09-23 基板处理装置以及半导体装置的制造方法
TW110135271A TWI804993B (zh) 2020-09-23 2021-09-23 基板處理裝置、半導體裝置的製造方法及程式
US18/351,783 US20230357920A1 (en) 2020-09-23 2023-07-13 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory tangible medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020159119A JP7203070B2 (ja) 2020-09-23 2020-09-23 基板処理装置、基板処理方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2022052622A true JP2022052622A (ja) 2022-04-04
JP7203070B2 JP7203070B2 (ja) 2023-01-12

Family

ID=80740028

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020159119A Active JP7203070B2 (ja) 2020-09-23 2020-09-23 基板処理装置、基板処理方法及び半導体装置の製造方法

Country Status (5)

Country Link
US (2) US20220090258A1 (ja)
JP (1) JP7203070B2 (ja)
KR (1) KR20220040402A (ja)
CN (1) CN114250453A (ja)
TW (1) TWI804993B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023181242A1 (ja) * 2022-03-24 2023-09-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置及びプログラム
WO2024003997A1 (ja) * 2022-06-27 2024-01-04 株式会社Kokusai Electric 基板処理装置、基板処理方法、及び半導体装置の製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060093754A1 (en) * 2004-10-29 2006-05-04 Christian Krueger System and method for supplying precursor gases to an implantation tool
WO2007114156A1 (ja) * 2006-03-30 2007-10-11 Mitsui Engineering & Shipbuilding Co., Ltd. 原子層成長装置
JP2013093551A (ja) * 2011-10-07 2013-05-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
JP2016072260A (ja) * 2014-09-26 2016-05-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2017179397A (ja) * 2016-03-28 2017-10-05 東京エレクトロン株式会社 基板処理装置、ガスの供給方法、基板処理方法及び成膜方法
JP2018168431A (ja) * 2017-03-30 2018-11-01 株式会社日立国際電気 基板処理方法、基板処理装置、プログラム

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US7192486B2 (en) * 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
JP5137366B2 (ja) * 2006-01-24 2013-02-06 株式会社日立国際電気 基板処理システム及び液体材料供給装置
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
KR101845580B1 (ko) * 2011-01-19 2018-04-04 시케이디 가부시키가이샤 액체 기화기
WO2014157210A1 (ja) * 2013-03-26 2014-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
WO2017009997A1 (ja) * 2015-07-16 2017-01-19 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び気化システム
JP6457104B2 (ja) * 2015-09-29 2019-01-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
WO2017056244A1 (ja) * 2015-09-30 2017-04-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP6487574B2 (ja) * 2015-12-18 2019-03-20 株式会社Kokusai Electric 貯留装置、気化器、基板処理装置および半導体装置の製造方法
KR102248120B1 (ko) * 2016-03-24 2021-05-04 가부시키가이샤 코쿠사이 엘렉트릭 기화기, 기판 처리 장치 및 반도체 장치의 제조 방법
JP6891018B2 (ja) * 2017-03-27 2021-06-18 株式会社Kokusai Electric 基板処理装置、気化システム及びミストフィルタ並びに半導体装置の製造方法
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7137921B2 (ja) * 2017-11-07 2022-09-15 株式会社堀場エステック 気化システム及び気化システム用プログラム
JP6774972B2 (ja) * 2018-02-08 2020-10-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR20200123480A (ko) * 2018-03-20 2020-10-29 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
JP6752249B2 (ja) * 2018-03-27 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7254620B2 (ja) * 2018-06-26 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、部品の管理方法、基板処理装置及び基板処理プログラム
JP6966402B2 (ja) * 2018-09-11 2021-11-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および基板処理装置の電極
JP2020084290A (ja) * 2018-11-29 2020-06-04 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP2021048233A (ja) * 2019-09-18 2021-03-25 株式会社Kokusai Electric 原料貯留システム、基板処理装置、クリーニング方法およびプログラム
JP7000393B2 (ja) * 2019-09-25 2022-01-19 株式会社Kokusai Electric 基板処理装置、ガスボックス及び半導体装置の製造方法
JP6937806B2 (ja) * 2019-09-25 2021-09-22 株式会社Kokusai Electric 基板処理装置、及び半導体の製造方法
JP7033622B2 (ja) * 2020-03-19 2022-03-10 株式会社Kokusai Electric 気化装置、基板処理装置、クリーニング方法および半導体装置の製造方法
KR20220043028A (ko) * 2020-09-28 2022-04-05 가부시키가이샤 코쿠사이 엘렉트릭 기화 시스템, 기판 처리 장치 및 반도체 장치의 제조 방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060093754A1 (en) * 2004-10-29 2006-05-04 Christian Krueger System and method for supplying precursor gases to an implantation tool
WO2007114156A1 (ja) * 2006-03-30 2007-10-11 Mitsui Engineering & Shipbuilding Co., Ltd. 原子層成長装置
JP2013093551A (ja) * 2011-10-07 2013-05-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
JP2016072260A (ja) * 2014-09-26 2016-05-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP2017179397A (ja) * 2016-03-28 2017-10-05 東京エレクトロン株式会社 基板処理装置、ガスの供給方法、基板処理方法及び成膜方法
JP2018168431A (ja) * 2017-03-30 2018-11-01 株式会社日立国際電気 基板処理方法、基板処理装置、プログラム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023181242A1 (ja) * 2022-03-24 2023-09-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置及びプログラム
WO2024003997A1 (ja) * 2022-06-27 2024-01-04 株式会社Kokusai Electric 基板処理装置、基板処理方法、及び半導体装置の製造方法

Also Published As

Publication number Publication date
TWI804993B (zh) 2023-06-11
TW202229624A (zh) 2022-08-01
KR20220040402A (ko) 2022-03-30
CN114250453A (zh) 2022-03-29
JP7203070B2 (ja) 2023-01-12
US20220090258A1 (en) 2022-03-24
US20230357920A1 (en) 2023-11-09

Similar Documents

Publication Publication Date Title
KR101848562B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US9177786B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
US11967501B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20230357920A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory tangible medium
US10774421B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and recording medium
WO2017047686A1 (ja) ガス供給部、基板処理装置、及び半導体装置の製造方法
CN111066122A (zh) 基板处理装置、半导体装置的制造方法以及程序
JP7016833B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
WO2022138599A1 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN112640061A (zh) 基板处理装置、半导体装置的制造方法及程序
KR20220074787A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 프로그램 및 기판 처리 장치
JP6867548B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
EP4239435A1 (en) Fluid supply system, processing apparatus, and program
JP2023129259A (ja) 流体供給システム、基板処理装置及び半導体装置の製造方法並びにプログラム
CN116695094A (zh) 流体供给系统、基板处理装置、半导体器件的制造方法以及程序
WO2023166771A1 (ja) 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
JP7342138B2 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法、プラズマ生成方法およびプログラム
US11961715B2 (en) Substrate processing apparatus, substrate retainer and method of manufacturing semiconductor device
US11542603B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and substrate processing method
WO2022064600A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
WO2022054855A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2022040906A (ja) クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2024072887A (ja) 基板処理方法
TW202413699A (zh) 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210924

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221226

R150 Certificate of patent or registration of utility model

Ref document number: 7203070

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150