KR102192977B1 - 3d 메모리 - Google Patents

3d 메모리 Download PDF

Info

Publication number
KR102192977B1
KR102192977B1 KR1020157022857A KR20157022857A KR102192977B1 KR 102192977 B1 KR102192977 B1 KR 102192977B1 KR 1020157022857 A KR1020157022857 A KR 1020157022857A KR 20157022857 A KR20157022857 A KR 20157022857A KR 102192977 B1 KR102192977 B1 KR 102192977B1
Authority
KR
South Korea
Prior art keywords
delete delete
dielectric
charge storage
storage structure
vertical
Prior art date
Application number
KR1020157022857A
Other languages
English (en)
Other versions
KR20150111973A (ko
Inventor
존 홉킨스
다윈 프란세다 팬
파트마 아르줌 심섹-에게
제임스 브라이튼
아우렐리오 지안카를로 마우리
스리칸트 제이안티
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Priority to KR1020207035903A priority Critical patent/KR102357067B1/ko
Publication of KR20150111973A publication Critical patent/KR20150111973A/ko
Application granted granted Critical
Publication of KR102192977B1 publication Critical patent/KR102192977B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7887Programmable transistors with more than two possible different levels of programmation
    • H01L27/11556
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

3-차원 메모리 셀들 및 메모리 셀들을 제작하고 사용하는 방법들이 일반적으로 본 출원에서 논의된다. 하나 이상의 실시예에서, 3-차원 수직 메모리는 메모리 스택을 포함할 수 있다. 그러한 메모리 스택은 메모리 셀들 및 인접한 메모리 셀들 사이의 유전체를 포함할 수 있고, 각 메모리 셀은 제어 게이트 및 전하 저장 구조를 포함한다. 메모리 셀은 전하 저장 구조 및 제어 게이트 사이의 배리어 물질을 더 포함할 수 있고, 전하 저장 구조 및 배리어 물질은 대체로 동일한 치수를 갖는다.

Description

3D 메모리{3D MEMORY}
우선 출원
본 출원은 2013년 1월 24일에 출원된 미국 출원 13/748,747에 대한 우선권의 혜택을 주장하며, 이는 그 전체가 참조용으로 본 출원에 통합된다.
몇몇 메모리 셀들은 플로팅 게이트 및 플로팅 게이트의 세 개의 측면들 주위에 둘러싸이는 질화물을 포함할 수 있다. 원치 않는 전하들이 질화물 내에, 특히 직접적으로 제어 게이트 및 플로팅 게이트 사이에 있지 않은 질화물의 부분들 내에 트랩되어질 수 있다. 셀의 임계 전압(Vt)은 질화물 내에 트랩되는 원치 않는 전하들에 의해 변경될 수 있다.
도 1은 플로팅 게이트 주위에 부분적으로 둘러싸이는 게이트 간 유전체(IGD; inter-gate dielectric)를 갖는 메모리 셀의 예의 단면도를 예시한다.
도 2은 메모리 셀의 예의 단면도를 예시한다.
도 3은 메모리 셀의 예의 단면도를 예시한다.
도 4는 예로서, 상이한 메모리 셀들에서의 제어 게이트 바이어스 전압 대 필러 전류의 그래프를 예시한다.
도 5a 내지 도 5g는 수직 메모리를 제작하는 기술의 예를 예시한다.
도 6a 내지 도 6k는 수직 메모리를 제작하는 다른 기술의 다른 예를 예시한다.
도 7a 내지 도 7d는 수직 메모리를 제작하는 기술의 다른 예를 예시한다.
도 8a 내지 도 8f는 수직 메모리를 제작하는 기술의 다른 예들을 예시한다.
도 9는 수직 메모리의 예의 단면도를 예시한다.
도 10a 및 도 10b는 수직 메모리를 제작하는 기술의 예를 예시한다.
도 11은 메모리 어레이의 예를 예시한다.
이하의 상세한 설명은 본 내용이 실시될 수 있는 특정한 측면들 및 실시예들을 예로서 도시하는 첨부 도면들을 나타낸다. 이들 실시예들은 당해 기술분야의 통상의 기술자들이 본 내용을 실시하는 것을 가능하게 하기 위해 충분히 상세하게 설명된다.
본 출원에 사용되는 바와 같은 "수평"이라는 용어는 웨이퍼 또는 기판의 실제 배향과 관계 없이, 기판과 같은, 웨이퍼의 통상의 평면 또는 표면에 평행한 평면으로서 정의된다. "수직"이라는 용어는 위에서 정의된 바와 같이 수평에 수직인 방향을 나타낸다. "상에", "옆", "보다 높은", "보다 낮은", "위" 및 "아래"와 같은, 전치사들은 웨이퍼 또는 기판의 실제 배향과 관계 없이, 웨이퍼 또는 기판의 상부 표면 상에 있는 통상의 평면 또는 표면에 대하여 정의된다. "웨이퍼" 및 "기판"이라는 용어들은 본 출원에서 일반적으로 그 위에 집적 회로들이 형성되는 임의의 구조를 나타내고, 그리고 또한 집적 회로 제조의 다양한 스테이지들 동안 그러한 구조들을 나타내기 위해 설명된다. 따라서, 이하의 상세한 설명은 한정하는 의미로 취해지지 않으며, 본 발명의 범위는 첨부된 청구항들에 의해서만, 그러한 청구항들에 부여되는 등가물들의 전체 범위와 함께, 정의된다.
일반적으로 3-차원(3D) 메모리들, 메모리 셀들, 및 이를 제작하고 사용하는 방법들이 본 출원에서 논의된다. 하나 이상의 실시예에서, 3D 수직 메모리는 메모리 스택을 포함할 수 있다. 메모리 스택은 적어도 두 개의 메모리 셀 및 인접한 메모리 셀들 간 유전체의 스택을 포함할 수 있으며, 여기서 각 메모리 셀은 그것 위에 축적되는 전자들 또는 홀들을 저장하도록 구성되는, 플로팅 게이트(FG) 또는 전하 트랩(CT)과 같은, 전하 저장 구조 및 제어 게이트(CG)를 포함한다. 정보는 셀에 의해 저장된 전자들 또는 홀들의 양들에 의해 제시된다. 메모리 스택은 산화물-질화물-산화물("ONO"; oxide-nitride-oxide)의 합성물을 포함하는 게이트 간 유전체(IGD)에서의 질화물과 같은, 배리어 물질을 더 포함할 수 있으며, 여기서 IGD는 전하 저장 구조 및 CG 사이에 있을 수 있다. 배리어 물질 및 전하 저장 구조는 인접하여 좌우로 위치되거나, 서로에 수평으로 정렬되거나, 또는 대체로 동일한 높이들을 가질 수 있다.
NAND 어레이 아키텍처는 어레이의 메모리 셀들이 액세스 라인들(이들은 메모리 셀들의 CG들에 결합되고, 몇몇 경우들에서 적어도 부분적으로 메모리 셀들의 CG들에 의해 형성되는)에 논리적 로우들로 결합되도록 배열되는 메모리 셀들의 어레이이며, 이는 보통 워드 라인들로 지칭된다. 어레이의 몇몇 메모리 셀들은 소스 라인 및 데이터 라인 사이에 직렬로 함께 결합되며, 이는 보통 비트 라인으로 지칭된다.
NAND 어레이 아키텍처 내 메모리 셀들은 원하는 데이터 상태로 프로그램될 수 있다. 예를 들어, 전기 전하는 셀을 다수의 데이터 상태 중 원하는 상태로 프로그램하기 위해 메모리 셀의 FG 상에 축적되거나(예컨대, 배치되거나), 또는 메모리 셀의 FG로부터 제거될 수 있다. 메모리 셀은 보통 두 개의 데이터 상태 중 원하는 상태, 예컨대, "1" 또는 "0" 상태로 프로그램될 수 있는 단일 레벨 셀(SLC)로 지칭된다. 메모리 셀들은 보통 두 개보다 많은 데이터 상태 중 원하는 상태로 프로그램 될 수 있는 멀티레벨 셀들(MLC)로 지칭된다.
전자들이 FG 상에 저장될 때, 그것들은 셀의 Vt를 변경한다. 따라서, 셀이 CG 상에 특정한 전압을 배치함으로써(예컨대, 판독 전압을 갖고 셀에 결합되는 액세스 라인을 구동함으로써) "판독"될 때, 전기 전류는 셀의 Vt 및 CG 상에 배치되는 특정 전압에 따라 셀의 채널에 흐르거나 흐르지 않을 것이다. 이러한 전류의 존재 또는 부재는 감지되고 1 및 0으로 변환되어, 저장 데이터를 재생산할 수 있다.
각 메모리 셀은 소스 라인 및 데이터 라인에 직접 결합하지 않을 수 있다. 대신에, 예시적인 어레이의 메모리 셀들은 통상적으로 각각 4, 8, 16, 32 또는 그 이상의 셀들의 스트링들에 함께 배열될 수 있고, 여기서 스트링 내 메모리 셀들은 공통 소스 라인 및 데이터 라인 사이에 직렬로 함께 결합된다.
NAND 어레이는 전압을 갖고 그러한 셀들에 결합되는 액세스 라인을 구동함으로써 메모리 셀들의 로우를 활성화하는 로우 디코더에 의해 액세스될 수 있다. 또한, 각 스트링의 미선택 메모리 셀들에 결합되는 액세스 라인들은 상이한 전압으로 구동될 수 있다. 예를 들어, 각 스트링의 미선택 메모리 셀들은 그것들을 패스 트랜지스터들로서 작동시키기 위해 패스 전압을 갖고 구동되어, 그것들이 그것들의 프로그램된 데이터 상태들에 의해 제한되지 않는 방식으로 전류를 통과시키는 것을 허용할 수 있다. 그 후 전류는 판독되도록 선택되는 각 스트링의 메모리 셀에 의해 제한되는, 직렬 결합된 스트링의 각 메모리 셀을 통해 소스 라인으로부터 데이터 라인으로 흐를 수 있다. 이는 선택된 메모리 셀들의 로우의 현재 인코딩된, 저장된 데이터 값들을 데이터 라인들에 배치한다. 데이터 라인들의 페이지가 선택되고 감지되며, 그 후 각각의 데이터 워드들이 페이지로부터 감지된 데이터 워드들로부터 선택되고 메모리 장치로부터 전달될 수 있다.
NAND 어레이와 같은, 플래시 메모리는 하나보다 많은 메모리 셀들의 스택들을 갖고 3D 메모리로서 형성될 수 있다. 메모리 셀들에 대한 CG들은 CG 리세스들에 인접할 수 있다.
도 1은 FG(102A), 유전체(예컨대, 산화물)(108), 배리어 필름(예컨대, 질화물)(104A), CG(106) 및 필러(110)와 같은, 전하 저장 구조를 포함할 수 있는 3D 메모리 내 메모리 셀들의 스택에서의 메모리 셀(100)의 예를 도시한다. 예시된 예에서, 배리어 필름(104A)은 FG(102A) 및 CG(106) 사이에 있다. 배리어 필름(104A)은 일반적으로 예시되는 바와 같이 대체로 직선일 수 있으나, 대체로 직사각형은 아닐 수 있다. 전하는 이를테면 FG(102A) 및 CG(106)를 직접 분리하지 않는 배리어 필름(104A)의 부분들 상에와 같이, 배리어 필름(104A)의 부분들 상에 트랩될 수 있다.
도 2은 수직 메모리 셀(200)의 예의 단면도를 도시한다. 메모리 셀(200)은 FG(102B), 유전체(108), 배리어 필름(104B) 및 CG(106)를 포함할 수 있다. 수직 메모리 셀(200)은 NAND 스트링, NOR 스트링 또는 다른 유형의 스트링에서 사용될 수 있다. 배리어 필름(104)은 도 2에 예시된 바와 같이, 대체로 직사각형일 수 있다.
도 3은 FG(102B), 배리어 필름(104B), CG(106), 유전체(108), 및 반도체 필러(110)를 포함할 수 있는, 수직 메모리 셀과 같은, 메모리 셀(300)의 예의 단면도를 도시한다. FG(102B)는 전도성으로 도핑된 폴리실리콘과 같은, 반도체 물질로 제작될 수 있다. FG(102B)는 도 3에 도시된 바와 같이, 배리어 필름(104B)의 제1 치수(312B)와 대체로 동일한(예컨대, 메모리 셀을 제작하는데 사용되는 제조 프로세스에서 표준 변형 한 두배 내) 제1 치수(312A)(예컨대, 높이)를 가질 수 있다. FG(102B)의 제1 치수(312A)는 또한 배리어 필름(104B)의 제1 치수(312B)보다 더 클 수 있다. FG(102B)는 도 2에 도시된 바와 같이, FG(102B)의 제1 치수(312A)에 거쳐 배리어 필름(104B)의 제2 치수(314B)보다 큰 제1 치수(312A)에 수직인 제2 치수(예컨대, 길이)(314A)를 가질 수 있다. FG(102B)의 제1 치수(312A)는 CG(106)의 제1 치수(312C)보다 작거나 CG(106)의 제1 치수(312C)와 대체로 동일할 수 있다. CG(106)의 제2 치수(314C)는 FG(102B)의 제1 치수(312A) 전체에 거쳐 FG(102B)의 제2 치수(314A)보다 클 수 있다. CG(106), 산화물(108), FG(102), 또는 배리어 필름(104)은 PECVD 공정을 사용하여 증착될 수 있다.
배리어 필름(104B)은 도 3에 도시된 바와 같이, 그것의 제1 치수(312B)에 거쳐 대체로 동일한 제2 치수(314B)를 포함할 수 있다(예컨대, 배리어 필름(104B)은 그것의 전체 제1 치수(312B)에 거쳐 대체로 균일한 두께를 포함할 수 있다). 배리어 필름(104B)은 도 3에 도시된 바와 같이, 수직 메모리 셀(300)의 수직 단면에서 대체로 직사각형일 수 있다. 배리어 필름(104B)은 도 3에 도시된 바와 같이, FG(102B)의 표면적(예컨대, 제2 치수(314A)와 제1 치수(312A)를 곱한 값)보다 작은 표면적(예컨대, 제2 치수(314B)와 제1 치수(312B)를 곱한 값)을 포함할 수 있다. 배리어 필름(104B)은 도 3에 도시된 바와 같이, 완전히 FG(102B)의 측면에 대응하는 평면(316A) 및 FG(102B)의 측면에 대향하는 CG(106)의 측면에 대응하는 평면(316B) 사이에 있을 수 있다. 배리어 필름(104B)은 도 3에 도시된 바와 같이, FG(102B)의 단지 하나의 측면에 인접할 수 있다.
배리어 필름(104B)은 면을 포함할 수 있고, FG(102B)는 배리어 필름(104B)의 면에 대향하고 배리어 필름(104B)의 면에 실질적으로 평행한, 면(316A)에 대응하는 면과 같은, 면을 가질 수 있다. 배리어 필름(104B)의 면의 각 부분은 도 3에 도시된 바와 같이, 실질적으로 동일한 거리만큼 플로팅 게이트(102B)의 면으로부터 분리될 수 있다.
FG(102B)는 배리어 필름(104B)과 면하는 평평한 측면(예컨대, 평면(316A)에 대응하는 측면)을 가질 수 있다. CG(106)는 배리어 필름(104B)과 면하는 평평한 측면(예컨대, 평면(316B)에 대응하는 측면)을 가질 수 있다. 배리어 필름(104B)은 FG(102B)의 평평한 측면에 면하고 대체로 평행한 제1 평평한 측면 및 CG(106)의 평평한 측면에 면하고 대체로 평행한 제2 평평한 측면을 가질 수 있다. CG(106)의 제1 치수(312C)는 도 3에 도시된 바와 같이, 배리어 필름(104B)의 대응하는 제1 치수(312B)와 대체로 동일할 수 있다.
도 4는 CG 바이어스 대 필러 전류의 그래프의 예를 도시한다. 라인(418)은 도 2에 도시된 배리어 필름(104B)과 같은 배리어 필름(104)를 포함하는 메모리 셀에서의 CG 바이어스 대 필러 전류의 예이다. 라인(420)은 도 1에 도시된 바와 같이, 세 개의 측면들 상에 FG(102)에 인접한 배리어 필름(104)을 포함하는 셀에서의 CG 바이어스 대 필러 전류의 예이다. 동일한 필러 전류에 대해, 라인(418)에 대한 CG(106) 바이어스는 라인(420)에 대한 CG(106) 바이어스보다 작을 수 있다. 예를 들어, 도 4에 예시된 바와 같이, 바이어스 전압 차이는 약 2.9 볼트일 수 있다. 다른 전압 차이들이 실현될 수 있다. 예를 들어, 바이어스 전압 차이가 약 7 볼트까지일 수 있다. 전압 차이들은 얼마나 많은 전하가 배리어 필름(104)에 트랩되는지의 함수 또는 CG(106)에 대한 FG(102)의 정렬에 대한 함수일 수 있다. 예를 들어, 낮은 CG 바이어스는 적어도 부분적으로, 배리어 필름(104A) 상에 트랩되는 전하와 비교할 때 배리어 필름(104B) 상에 트랩되는 전하의 양의 감소로 인한 것일 수 있다. 또한, 낮은 CG 바이어스는 적어도 부분적으로, FG(102B) 및 CG(106) 사이 정렬로 인한 것일 수 있다.
본 출원에서 사용되는 바와 같이, "수직 메모리 스트링"은 필러(110)를 포함하고, 유전체(108), FG(102), 및 배리어 필름(104)을 갖고 충진되는 CG 리세스(530)(예컨대, 폴리실리콘으로 충진되는 트렌치와 같은, 충진된 트렌치(528))를 갖는 "수직 메모리 스택"(예컨대, 티어 유전체(524) 계층들 사이 CG 리세스들(530)을 갖고 교번하는 CG(106) 및 티어 유전체(524) 계층들)을 의미할 수 있다. 또한, "수직 메모리"라는 용어는 최종 형태를 나타내는데 사용될 수 있다.
도 5a 내지 도 5g는 평평한 배리어 필름(104)을 갖고 수직 메모리(500)를 제작하는 기술의 예를 도시한다. 도 5a는 기판(522) 위의 제1 CG(106A-B), 제1 CG(106A-B) 위의 제1 티어 유전체(524A-B), 제1 티어 유전체(524A-B) 위의 제2 CG(106C-D), 제2 CG(106C-D) 위의 제2 티어 유전체(524C-D) 및 제2 티어 유전체(524C-D) 위의 마스크 물질(예컨대, 산화물, 질화물, 또는 폴리실리콘과 같은, 유전체)(526)을 도시한다. 수직 메모리(500)는 트렌치(528) 및 복수의 CG 리세스(530)를 포함할 수 있다. 산화물과 같은, 유전체(108)의 제1 계층은 도 5a에 도시된 바와 같이, 트렌치(528)의 측벽들 상에 및 CG 리세스들(530)에서의 CG들(106)의 노출된 표면들 상에 형성될 수 있다. CG 리세스들(530)은 티어 유전체 계층들(524) 사이에 형성되는 CG들(106)에 인접한 티어 유전체 계층들(524) 사이의 갭들일 수 있다.
트렌치(528) 및 CG 리세스들(530)은 도 5b에 도시된 바와 같이, 배리어 물질(532)로 적어도 부분적으로 충진될 수 있다. 예를 들어, 배리어 물질(532)은 질화물일 수 있다. 배리어 물질(532)은 트렌치(528) 및 CG 리세스들(530)에 증착되거나 다르게 형성될 수 있다. 배리어 물질(532)은 이를테면 기계, 화학, 레이저, 가스, 또는 포토 에칭 공정을 사용함으로써 부분적으로 제거될 수 있다. 배리어 물질(532)은 도 5c에 도시된 바와 같이, CG들(106)에 인접한 배리어 필름들(104)을 형성하기 위해 CG 리세스들(530)에 배리어 물질(532)의 적어도 일부를 남기기 위해 트렌치(528) 및 CG 리세스들(530)로부터 부분적으로 제거될 수 있다. 제거된 배리어 물질(532)의 부분들은 고온 인산을 사용하여 제거될 수 있다. 공정 후 잔존 배리어 물질(532)의 크기 또는 형상은 상이한 온도들 또는 농도들에서 인산을 사용함으로써, 또는 시간의 양들을 변화시키기 위해 배리어 물질(532)을 고온 인산에 노출시킴으로써 제어될 수 있다.
유전체(108)의 제2 계층(제1 계층과 동일한 유전체 물질일 수 있거나 그러한 물질이 아닐 수 있는)은 도 5d에 도시된 바와 같이, 배리어 필름들(104) 상에, 이를테면 현장 스팀 발생 공정(ISSG; in situ steam generation process)을 사용하여 유전체(108)를 성장시킴으로써, 형성될 수 있다. 트렌치(528) 및 CG 리세스들(530)은 도 5e에 도시된 바와 같이, 전하 저장 물질(534)로 적어도 부분적으로 충진될 수 있다. 전하 저장 물질(534)은 전도성으로 도핑된 폴리실리콘일 수 있다. 전하 저장 물질(534)은 CG 리세스들(530)을 적어도 부분적으로 충진하기 위해 증착될 수 있다. 전하 저장 물질(534)은 도 5f에 도시된 바와 같이, 적어도 부분적으로 제거될 수 있다. 전하 저장 물질(534)은 트렌치(528) 및 CG 리세스들(530)로부터 적어도 부분적으로 제거될 수 있고, 전하 저장 물질(534)의 잔존 부분들은 이를테면 FG들(102)을 형성하기 위해, CG 리세스들(530)에 남겨질 수 있다. 전하 저장 물질(534)의 부분들은 CertasTM(예컨대, 암모니아 증기), 플루오르화 암모늄 및 질산 혼합(NH4F-HNO3), 오존(O3) 또는 불산(HF) 혼합 또는 순환(예컨대, 노출된 표면들은 표면 산화물을 생성하기(예컨대, 산화시키기) 위해 오존에 노출될 수 있고 산화된 표면은 산화물을 제거하기 위해 불산에 노출될 수 있다), 불산 및 질산 혼합(HF-HNO3), 불산 및 과산화수소 혼합(HF-H2O2), 또는 수산화 테트라 메틸 아모늄(TMAH) 공정을 사용하여 제거될 수 있다. 전하 저장 물질(534)의 부분들을 제거하는데 사용되는 공정은 전하 저장 물질(534)의 도핑의 함수일 수 있다. 예를 들어, 전하 저장 물질(534)이 n-형 폴리실리콘이라면, TMAH 공정은 전하 저장 물질(534)의 부분들을 제거하는데 사용될 수 있다.
터널 산화막과 같은, 유전체(108)의 제3 계층은 FG들(102) 상에 형성(예컨대, 성장)될 수 있고, 필러(110)는 도 5g에 도시된 바와 같이, 트렌치(528)에 형성될 수 있다. 필러(110)를 형성하는 것은 트렌치(528)의 측벽들과 같은, 트렌치(528)의 노출된 표면들 상에, 폴리실리콘 라이너(polysilicon liner)와 같은, 라이너를 형성하는 것을 포함할 수 있다. 라이너는 하류 공정으로부터 유전체(108)를 보호하거나 차폐할 수 있다. 트렌치(528)의 하부에서의 유전체(108)(예컨대, 폴리 라이너)는 이를테면 기판(522) 또는 채널(1138)(도 11 참조)에 전기 접촉을 가능하게 하기 위해, 침식되거나 다르게 제거될 수 있다. 도 5g에 도시된 바와 같이, 필러(110)는 트렌치(528)를 적어도 부분적으로 충진하기 위해 형성될 수 있다. 본 기술에 의해 형성되는 수직 메모리(500)는 CG(106)의 제1 치수(312C)보다 작은 배리어 필름(104)의 제1 치수(312B) 및 FG(102)의 제1 치수(312A)를 갖는 도 3에 도시된 수직 메모리 셀(300)과 대체로 유사한 메모리 셀을 포함할 수 있다. 도 5g는 두 개의 수직 메모리 스트링들을 갖는 수직 메모리(500)를 도시하고, 각 수직 메모리 스트링은 두 개의 메모리 셀들을 포함한다.
도 6a 내지 도 6j는 수직 메모리(600)를 제작하는 기술의 예를 도시한다. 도 6a의 수직 메모리(600)는 유전체(108)를 제외하고 도 5a에 도시된 수직 메모리(600)와 대체로 유사할 수 있다. 유전체(108)의 계층은 트렌치(528)의 측벽들 상에 그리고 리세스들(530)에 인접한 CG들(106)의 노출된 표면들 상에 형성될 수 있다. 도 6b에 도시된 바와 같이, 유전체(108)의 부분들은 이를테면 불산을 사용함으로써, 트렌치(528)의 측벽들 및 CG 리세스들(530)의 노출된 표면들의 부분들로부터 제거될 수 있다. 대안적으로, 유전체(108)는 이를테면 현장 스팀 발생(ISSG) 공정을 통해, CG(106)의 노출된 부분들 상에 성장될 수 있다. 그러한 기술은 CG(106)의 대응하는 치수(예컨대, 높이)와 대체로 동일한 치수(예컨대, 높이)를 갖는 각각의 CG 리세스(530)에서 CG(106)에 인접한 유전체(108)를 남길 수 있다. 트렌치(528) 및 CG 리세스들(530)은 도 6c에 도시된 바와 같이, CG 리세스들(530)의 노출된 표면들 및 트렌치(528)의 측벽들 상에 배리어 물질(532)을 제공하기 위해 배리어 물질(532)로 적어도 부분적으로 충진될 수 있다.
트렌치(528) 및 CG 리세스들(530)은 적어도 부분적으로 희생 물질(636)로 충진될 수 있다. 도 6d에 도시된 바와 같이, 희생 물질(636)은 트렌치(528) 및 CG 리세스들(530)에서 배리어 물질(532) 상에 증착되거나 다르게 형성될 수 있다. 희생 물질(636)은 원자 층 증착(ALD) 공정, 높은 종횡비 공정(HARP) 또는 다른 공정을 사용하여 증착될 수 있다. 희생 물질(636)은 폴리실리콘, 산화물, 테트라에틸올소실리케이트(TEOS), 탄소 반사 방지막 코팅(BARC) 또는 레지스트와 같은 유기물, 질화물, 이들의 도핑된 버전들 또는 이들의 조합들일 수 있다. 희생 물질(636)은 희생 물질(636)이 사용되지 않았더라면 인산 배리어 물질 제거와 같은, 하류 공정이 다르게 FG(102)가 되었을 수 있는 물질에 손상을 입힐 수 있는 기술들에서 유용할 수 있다. 희생 물질(636)은 도 6e에 도시된 바와 같이, 적어도 부분적으로 트렌치(528)로부터 제거되어, CG 리세스들(530)에 희생 물질(636) 일부를 남길 수 있다. 희생 물질(636)이 폴리실리콘을 포함할 때 TMAH, 암모니아(NH4OH), 또는 가스 암모니아 공정은 희생 물질(636)을 적어도 부분적으로 제거하는데 사용될 수 있다. 희생 물질(636)이 ALD 또는 다른 공정에 의해 증착되는 산화물 또는 질화물을 포함할 때, 불산 또는 고온 인산이 희생 물질(636)을 적어도 부분적으로 제거하는데 사용될 수 있다. 희생 물질(636)이 TEOS 또는 HARP 물질을 포함할 때 불산이 희생 물질(636)을 적어도 부분적으로 제거하는데 사용될 수 있다. 희생 물질이 BARC 또는 레지스트를 포함할 때 이방성 드라이 에칭 또는 플라즈마 드라이 스트립(예컨대, "디스컴(descum)")은 희생 물질(636)을 적어도 부분적으로 제거하는데 사용될 수 있다.
배리어 물질(532)은 트렌치(528) 및 CG 리세스들(530)로부터 배리어 물질(532)을 적어도 부분적으로 제거하기 위해 에칭될 수 있다. 도 6f에 도시된 바와 같이, 에칭은 해당 리세스(530)에 인접한 CG(106)의 대응하는 치수(예컨대, 높이)와 대체로 동일한 치수(예컨대, 높이)를 갖는 각각의 CG 리세스(530)에서의 유전체(108)에 인접한 배리어 필름(104)을 형성할 수 있다. 희생 물질(636)은 이를테면 제거 공정으로부터 보호되도록 제거 공정에 저항성일 수 있다. 제거 공정은 배리어 물질(532)의 부분들을 선택적으로 제거하고 유전체(108) 또는 수직 메모리(600)의 다른 부분들을 제거하지 않는, 고온 인산과 같은, 화학 물질을 포함하는 화학적 에칭을 포함할 수 있다. 희생 물질(636)은 도 6g에 도시된 바와 같이, 제거될 수 있다.
유전체(108)의 제2 계층은 도 6h에 도시된 바와 같이, 배리어 필름들(104)의 노출된 표면들 상에 성장될 수 있다. 각각의 CG 리세스(530)에서의 성장된 유전체(108)는 해당 리세스(530)에 인접한 CG(106)의 대응하는 치수(예컨대, 높이)와 대체로 동일한 치수(예컨대, 높이)를 가질 수 있다.
트렌치(528) 및 CG 리세스들(530)은 도 6i에 도시된 바와 같이, 전하 저장 물질(534)로 적어도 부분적으로 충진될 수 있다. 트렌치(528) 및 CG 리세스들(530)은 등각 증착 공정을 사용하여 충진될 수 있다. 전하 저장 물질(534)은 트렌치(528) 및 CG 리세스들(530)로부터 적어도 부분적으로 제거될 수 있다. 일부 전하 저장 물질(534)은 CG 리세스들(530)에 남겨질 수 있다. 남겨진 전하 저장 물질(534)은 FG들(102)을 형성할 수 있다. 각각의 CG 리세스(530)에서의 FG(102)는 도 6j에 도시된 바와 같이, 해당 CG 리세스(530)에 인접한 CG(106)의 대응하는 치수(예컨대, 높이)와 대체로 동일한 치수(예컨대, 높이)를 가질 수 있다. 도 6k에 도시된 바와 같이, 유전체(108)의 제3 계층(제1 및/또는 제2 계층에서 사용되는 동일한 유형의 유전체일 수 있거나 그러한 유전체가 아닐 수 있는) 및 필러(110)는 트렌치(528)에 형성(예컨대, 성장)될 수 있다. 본 기술에 의해 형성되는 수직 메모리(600)는 도 3에 도시된 수직 메모리 셀(300)과 대체로 유사한 메모리 셀을 포함할 수 있다.
도 7a 내지 도 7d는 수직 메모리(700)를 형성하는 다른 기술을 예시한다. 본 기술은 도 6a 내지 도 6c에 대하여 설명된 공정을 포함할 수 있다. 도 6c에 도시된 수직 메모리(600)와 같은, 수직 메모리는 트렌치(528) 및 CG 리세스들(530)에서의 배리어 물질(532) 상에 형성된 유전체(108)의 제2 계층을 가질 수 있다. 유전체(108)의 제2 계층은 도 7a에 도시된 바와 같이, 적어도 부분적으로 제거될 수 있다. 도 7b에 도시된 바와 같이, 트렌치(528) 및 CG 리세스들(530)은 전하 저장 물질(534)로 적어도 부분적으로 충진될 수 있다(예컨대, 전하 저장 물질(534)이 유전체(108)의 제2 계층 상에 있도록). 전하 저장 물질(534)은 도 7c에 도시된 바와 같이, FG(102)를 형성하기 위해 트렌치(528)로부터 적어도 부분적으로 제거될 수 있다. 도 7d에 도시된 바와 같이, 배리어 물질(532)은 이를테면 고온 인산을 사용함으로써, 적어도 부분적으로 제거될 수 있고, 유전체(108)의 제3 계층은 트렌치(528) 및 CG 리세스들(530)의 노출된 표면들 상에 형성될 수 있다. 고온 산화물과 같은, 유전체(108)의 제3 계층은 증착 공정을 사용하여 형성될 수 있다. 유전체(108)는 터널 산화막을 형성할 수 있다. 필러(110)는 도 5g에 도시된 바와 같이, 트렌치(528)에 형성될 수 있다.
도 6c에 도시된 수직 메모리(600)는 이를테면 ALD 공정을 사용함으로써, 충진될 수 있다. ALD 공정은 도 8a에 도시된 바와 같이, CG 리세스들(530)을 충진하고 유전체(108A)로 트렌치(528)를 적어도 부분적으로 충진할 수 있다. 트렌치(528)에서의 유전체(108A)의 적어도 일부가 제거될 수 있다. 유전체(108A)는 도 8b에 도시된 바와 같이, 트렌치(528)에서의 배리어 물질(532)과 대체로 같은 높이로 남겨질 수 있다. 도 8c는 배리어 물질(532)이 현장 스팀 발생(ISSG) 공정을 통해 그것을 유전체로 변환시킴으로써 제거된 후의 수직 메모리(800)를 도시한다. 그러한 공정은 이를테면 배리어 물질(532)의 부분들을 유전체(108)로 변환시킴으로써, 배리어 물질(532)의 부분들을 제거할 수 있다. 도 8d는 유전체(108A)가 습식 화학 물질(예컨대, 불산)을 다시 사용하여 에칭된 후의 수직 메모리(800)를 도시한다. ISSG 공정으로 생성된 유전체(108)는 CG 리세스들(530)에서의 유전체 물질(108A)에 선택적으로 에칭될 수 있다. 측벽 상의 유전체(108)(예컨대, ISSG 공정을 사용하여 산화물로 변환된 질화물)는 다른 유전체(108A)보다 느리게 에칭할 수 있다. FG(102)는 도 1의 메모리와 대체로 유사한 메모리 셀들을 포함하는 수직 메모리(800)를 형성하기 위해 CG 리세스(530)에 형성될 수 있다. 그러한 수직 메모리는 더 큰 치수(예컨대, 길이)를 포함하는 FG(102)를 포함할 수 있으며, 이는 트렌치(528)에서의 유전체(108)와 같은 높이이도록 트렌치(528)로 연장한다.
대안적으로, 도 8c에 도시된 수직 메모리(800)는 고온 인산을 사용하여 에칭될 수 있다. 고온 인산은 도 8e에 도시된 바와 같이, CG 리세스들(530)에 배리어 필름(104)을 형성하기 위해 유전체(108A 및 108) 및 배리어 물질(532)을 에칭할 수 있다. 유전체(108)는 유전체(108A)보다 고온 인산 에칭에 더 저항성일 수 있다. 예를 들어, 유전체(108)를 1분 동안 고온 인산에 노출시키는 것은 유전체(108A)를 동일한 양의 시간 동안 동일한 고온 인산에 노출시킴으로써 제거될 수 있는 것보다 더 적은 유전체(108)를 제거할 수 있다. 유전체(108)는 배리어 필름(104)에 인접하여 형성될 수 있고 FG(102)는 유전체(108)에 인접하여 형성될 수 있다. 결과적인 구조는 도 8f에 도시된다.
도 9는 수직 메모리(900)의 예를 도시하며, 이는 도 7a 내지 도 7d에 대응하는 메모리 셀과 대체로 동일한 기술을 사용하여 형성될 수 있다. 터널 산화막을 형성하는 유전체(108)는 성장될 수 있다. 그러한 성장은 ISSG 공정을 사용하는 것을 포함할 수 있다. 그러한 공정을 사용하는 것은 이를테면 FG(102)의 일부를 산화물로 변환하는 것과 같이, 실리콘을 산화물로 변환할 수 있다. 그러한 공정은 도 9에 도시된 바와 같이, FG(102)의 코너들을 둥글게 하거나 티어 유전체(524)에 인접한 FG(102)의 부분을 제거할 수 있다. 그러한 공정은 도 9에 도시된 바와 같이, 유전체(108) 및 필러(110)와 같은, FG(102) 상에 형성된 후속 물질의 기하학적 구조를 변경시킬 수 있다.
도 10a 및 도 10b는 수직 메모리(1000)를 형성하는 기술의 예를 도시한다. 수직 메모리(1000)는 도 6b에 도시된 수직 메모리(600)에 대체로 유사한 구조를 포함할 수 있다. 배리어 물질(532)은 도 10a에 도시된 바와 같이, 트렌치(528)의 측벽들 상에 그리고 CG 리세스들(530) 내에 증착될 수 있다. 메모리 셀(1000)은 배리어 물질(532)의 부분들을 산화질화물 유전체와 같은, 유전체(108)로 변환시키기 위해, 이를테면 ISSG 공정을 사용함으로써, 산화될 수 있다. 결과적인 구조의 예는 도 10b에 도시된다. 도 6g에 도시된 바와 같이, 유전체(108)가 제거될 수 있고 잔존 배리어 물질(532)의 일부는 이를테면 배리어 필름(104)을 형성하기 위해, 제거될 수 있다. 메모리 셀(1000)의 잔존 부분들은 이를테면 도 6k에 도시된 수직 메모리(600)와 대체로 유사한 수직 메모리(1000)를 형성하기 위해, 도 6h 내지 도 6k에 도시된 기술과 대체로 유사한 기술을 사용하여 형성될 수 있다.
도 11은 메모리 어레이(1100)의 예를 도시한다. 메모리 어레이(1100)에서, 메모리 셀들(1142A-C)은 채널(1138)을 통해 전기적으로 결합될 수 있다. 채널(1138)은 하나 이상의 데이터 라인 접촉들(1140A-B)에 전기적으로 결합될 수 있다. 메모리 어레이(1100)의 메모리 셀들(1142A-D)은 도 2, 도 5g, 도 6k, 도 7d, 도 9 또는 도 10b에 도시된 메모리 셀들과 같이, 본 출원에 논의된 메모리 셀들과 대체로 유사할 수 있다.
하나보다 많은 측면 상의 FG에 인접한, 질화물과 같은, 배리어 필름를 포함하는 메모리 셀들과 연관된 문제는 FG 및 CG를 분리하지 않는 질화물의 부분들에(예컨대, FG 및 CG 사이에 직접적으로 있지 않은 질화물의 부분들에) 트랩되는 전하들일 수 있다. 또한, 트랩된 전하는 이를테면 프로그램, 소거 또는 온도 사이클링을 통해, IGD를 따라 이동할 수 있다. 그러한 전하 트랩 또는 이동은 질화물에 그러한 전하 트랩을 갖지 않는 메모리 셀들에 관하여 메모리 셀의 임계 전압(Vt)을 변경시키거나 증분 스텝 펄스 프로그래밍(ISPP; incremental step pulse programming)을 저하시킬 수 있다.
질화물 상의 그러한 전하 트랩 또는 이동은 FG의 적어도 하나의 표면에 인접하여 질화물을 포함함으로써(예컨대, 대체로 직사각형이고 "U"자형이 아닌 질화물을 포함함으로써) 적어도 부분적으로 제거될 수 있다. 그러한 구성운 질화물이 아니라 FG 상에 트랩되는 전하를 포함할 수 있다.
하나 이상의 실시예의 이점은 메모리 셀들의 소거 포화의 애로 사항들을 감소시키는 것을 포함할 수 있다. 다른 이점은 CG 리세스 또는 티어 산화물의 코너들 주위 불규칙한 형상들로 둘러싸이는 질화물과 같은, 제조시 변이원을 제거하는 것에 기인하는 FG 및 CG 사이의 개선된 정렬을 포함할 수 있다. 대신에 FG의 형상 및 크기는 플라즈마 강화 화학 증기 증착(PECVD) 공정에 의해 정의될 수 있으며, 이는 대체로 균일한 스택 증착 공정일 수 있다.
메모리 셀의 프로그램 및 소거 속성들은 게이트 결합비의 함수이며, 이는 메모리 셀의 FG 및 CG 간 커패시턴스의 함수이다. 도 1에 도시된 바와 같은, 둘러싸인 질화물을 가지고, 커패시턴스는 도 1의 화살표에 의해 도시된 바와 같은, CG(106) 및 FG(102A)의 대향하는 표면들 간 거리 및 FG 및 이에 인접한 질화물의 상하부 표면들 간 거리들의 대한 함수이다. 도 2에 도시된 바와 같은, 평평한 배리어 필름(104B)을 포함하는 메모리 셀(200)을 가지고, IGD 및 FG 사이에 발생되는 커패시턴스는 이를테면 커패시턴스를 FG(102B)의 표면 및 CG(106)의 대향하는 표면 간 거리의 함수로 만들기 위해, 감소 또는 제거될 수 있다. 그러한 구성은 이를테면 메모리 셀의 프로그램 및 소거 수행에 있어서의 균일성을 개선하기 위해, 게이트 결합비의 변이원들을 감소시킬 수 있다. 개선된 FG 대 CG 정렬을 갖는 디바이스는 개선된 VgVt를 포함할 수 있다. 다른 이점은 ISPP 저하 문제들을 감소시키는 것 및 이를테면 질화물 상에 트랩되는 전하를 감소시킴으로써 사이클링에 의해 야기되는 Vt 이동을 감소시킴으로써, 충분히 낮은 Vt를 유지하는 것을 포함할 수 있다.
다른 이점은 메모리 셀 1차 치수비로 감소된 채널 길이를 포함할 수 있고, 그러한 구성은 각각의 메모리 셀의 신뢰성을 증가시킬 수 있다.
상기 설명 및 도면들은 당해 기술분야의 통상의 기술자들이 본 발명의 실시예들을 실시하게 하기 위해 본 발명의 일부 실시예들을 예시한다. 다른 실시예들은 구조적, 논리적, 전기적, 프로세스 및 다른 변화들을 통합할 수 있다. 예들은 단지 가능한 변형들을 나타낸다. 일부 실시예들의 부분들 및 피처들은 다른 실시예들의 부분들 및 피처들에 포함되거나, 그러한 부분들 및 피처들로 대체될 수 있다. 많은 다른 실시예들은 상기 설명을 판독 및 이해할 때 당해 기술분야의 통상의 기술자들에게 분명할 것이다.

Claims (47)

  1. 수직 메모리에 있어서:
    메모리 셀들의 스택을 포함하고, 상기 스택의 각각의 셀은 2개의 각각의 수직으로 이격된 유전체 티어(tier)들 사이로 연장되고, 각각의 셀은:
    수직 표면을 갖는 제어 게이트;
    상기 제어 게이트의 수직 표면에 대향하는 수직 표면을 갖는 전하 저장 구조;
    상기 전하 저장 구조와 상기 제어 게이트 사이의 배리어 필름 - 상기 배리어 필름은 상기 제어 게이트의 수직 표면에 대향하는 제1 수직 표면 및 상기 제1 수직 표면의 반대편이고 상기 전하 저장 구조의 수직 표면에 대향하는 제2 수직 표면을 갖고, 상기 배리어 필름은 전체적으로 상기 제어 게이트의 수직 표면과 상기 전하 저장 구조의 수직 표면 사이의 수평 치수 내에 위치함 -;
    상기 배리어 필름의 제1 수직 표면과 상기 전하 저장 구조 사이로 연장되는 제1 유전체; 및
    상기 배리어 필름의 제1 수직 표면과 상기 제어 게이트 사이로 연장되는 제2 유전체
    를 포함하며, 상기 제1 유전체와 상기 제2 유전체 중 적어도 하나는 또한 상기 전하 저장 구조와 상기 2개의 각각의 수직으로 이격된 유전체 티어들 사이로 연장되고, 상기 제1 유전체와 상기 제2 유전체 중 적어도 하나는 또한 상기 배리어 필름과 상기 2개의 각각의 수직으로 이격된 유전체 티어들 사이로 연장되는, 수직 메모리.
  2. 제1항에 있어서, 상기 배리어 필름의 제2 수직 표면의 각 부분은 동일한 거리만큼 상기 전하 저장 구조의 수직 표면으로부터 분리되는, 수직 메모리.
  3. 제1항에 있어서, 상기 전하 저장 구조의 수직 치수는 상기 제2 수직 표면의 수직 치수보다 대체적으로 더 크거나 동일한, 수직 메모리.
  4. 제3항에 있어서, 상기 전하 저장 구조의 수직 치수가 상기 제2 수직 표면의 수직 치수보다 대체적으로 더 크거나 동일한 것은 상기 전하 저장 구조의 치수가 상기 배리어 필름의 치수와 대체적으로 동일한 것을 포함하는, 수직 메모리.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 전하 저장 구조에 인접한 필러(pillar)를 더 포함하고, 상기 필러와 상기 전하 저장 구조 사이에 제3 유전체가 있는, 수직 메모리.
  6. 제5항에 있어서, 상기 필러는 폴리실리콘을 포함하고, 상기 전하 저장 구조는 폴리실리콘을 포함하고, 상기 제1 유전체는 산화물을 포함하며, 상기 배리어 필름은 질화물을 포함하는, 수직 메모리.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 메모리 셀들의 스택은 메모리 셀들의 NAND 스트링을 포함하는, 수직 메모리.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 전하 저장 구조 및 상기 배리어 필름은 제어 게이트 리세스(control gate recess) 내에 형성되는, 수직 메모리.
  9. 메모리 구조를 형성하는 방법에 있어서:
    제어 게이트의 물질을 포함하는 제어 게이트 티어(tier)를 형성하는 단계 - 상기 제어 게이트 티어는 인접한 유전체 티어들 사이로 연장됨 -;
    상기 제어 게이트 티어를 통해 연장되는 수직 개구부를 형성하는 단계;
    상기 제어 게이트 티어 내에 제어 게이트 리세스를 형성하는 단계 - 상기 제어 게이트 리세스는 상기 제어 게이트의 수직 표면을 정의하도록 연장됨 -;
    상기 제어 게이트의 수직 표면에 인접한 제1 유전체를 형성하는 단계;
    상기 제1 유전체에 인접한 상기 제어 게이트 리세스 내에 배리어 물질을 형성하는 단계;
    상기 배리어 물질의 상기 제1 유전체와는 반대측에 인접한 제2 유전체를 형성하는 단계; 및
    상기 제2 유전체에 인접한 전하 저장 구조를 형성하는 단계 - 상기 전하 저장 구조는 상기 제어 게이트의 수직 표면에 대향하는 제1 수직 표면을 가짐 -
    를 포함하고, 상기 배리어 물질은 전체적으로 상기 전하 저장 구조의 제1 수직 표면과 상기 제어 게이트의 수직 표면 사이의 수평 거리 내에 위치하고, 상기 제2 유전체는 상기 전하 저장 구조의 위 아래로 연장되고, 상기 제1 유전체와 상기 제2 유전체 중 적어도 하나는 또한 상기 배리어 물질과 각각의 상기 인접한 유전체 티어들 사이로 연장되는, 메모리 구조 형성 방법.
  10. 제9항에 있어서, 상기 전하 저장 구조에 인접한 제3 유전체를 형성하는 단계를 더 포함하는, 메모리 구조 형성 방법.
  11. 제9항에 있어서, 상기 전하 저장 구조의 높이는 상기 배리어 물질의 높이보다 더 크거나 동일한, 메모리 구조 형성 방법.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
KR1020157022857A 2013-01-24 2014-01-23 3d 메모리 KR102192977B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020207035903A KR102357067B1 (ko) 2013-01-24 2014-01-23 3d 메모리

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/748,747 US8946807B2 (en) 2013-01-24 2013-01-24 3D memory
US13/748,747 2013-01-24
PCT/US2014/012798 WO2014116864A1 (en) 2013-01-24 2014-01-23 3d memory

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207035903A Division KR102357067B1 (ko) 2013-01-24 2014-01-23 3d 메모리

Publications (2)

Publication Number Publication Date
KR20150111973A KR20150111973A (ko) 2015-10-06
KR102192977B1 true KR102192977B1 (ko) 2020-12-21

Family

ID=51207058

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020157022857A KR102192977B1 (ko) 2013-01-24 2014-01-23 3d 메모리
KR1020207035903A KR102357067B1 (ko) 2013-01-24 2014-01-23 3d 메모리

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207035903A KR102357067B1 (ko) 2013-01-24 2014-01-23 3d 메모리

Country Status (7)

Country Link
US (3) US8946807B2 (ko)
EP (1) EP2948983B1 (ko)
JP (2) JP6434424B2 (ko)
KR (2) KR102192977B1 (ko)
CN (2) CN107256867B (ko)
TW (3) TWI484623B (ko)
WO (1) WO2014116864A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11043534B2 (en) 2013-03-15 2021-06-22 Micron Technology, Inc. Cell pillar structures and integrated flows

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9178077B2 (en) 2012-11-13 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US9105737B2 (en) 2013-01-07 2015-08-11 Micron Technology, Inc. Semiconductor constructions
US8853769B2 (en) 2013-01-10 2014-10-07 Micron Technology, Inc. Transistors and semiconductor constructions
US8946807B2 (en) 2013-01-24 2015-02-03 Micron Technology, Inc. 3D memory
US9184175B2 (en) 2013-03-15 2015-11-10 Micron Technology, Inc. Floating gate memory cells in vertical memory
US9064970B2 (en) 2013-03-15 2015-06-23 Micron Technology, Inc. Memory including blocking dielectric in etch stop tier
US9159845B2 (en) 2013-05-15 2015-10-13 Micron Technology, Inc. Charge-retaining transistor, array of memory cells, and methods of forming a charge-retaining transistor
US9275909B2 (en) 2013-08-12 2016-03-01 Micron Technology, Inc. Methods of fabricating semiconductor structures
KR20150050877A (ko) * 2013-11-01 2015-05-11 에스케이하이닉스 주식회사 트랜지스터 및 이를 포함하는 반도체 장치
US9437604B2 (en) 2013-11-01 2016-09-06 Micron Technology, Inc. Methods and apparatuses having strings of memory cells including a metal source
US10141322B2 (en) 2013-12-17 2018-11-27 Intel Corporation Metal floating gate composite 3D NAND memory devices and associated methods
US9478643B2 (en) * 2013-12-24 2016-10-25 Intel Corporation Memory structure with self-aligned floating and control gates and associated methods
JP5889486B1 (ja) * 2014-06-10 2016-03-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体メモリ装置及びその製造方法
US9917096B2 (en) * 2014-09-10 2018-03-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9793124B2 (en) 2014-10-07 2017-10-17 Micron Technology, Inc. Semiconductor structures
US9449915B2 (en) * 2014-12-24 2016-09-20 Macronix International Co., Ltd. Semiconductor device and method of manufacturing the same
US10672785B2 (en) * 2015-04-06 2020-06-02 Micron Technology, Inc. Integrated structures of vertically-stacked memory cells
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9461063B1 (en) 2015-05-06 2016-10-04 Macronix International Co., Ltd. Method for forming a semiconductor structure
TWI574386B (zh) * 2015-05-12 2017-03-11 旺宏電子股份有限公司 半導體結構之形成方法
US9608000B2 (en) * 2015-05-27 2017-03-28 Micron Technology, Inc. Devices and methods including an etch stop protection material
CN107533978B (zh) * 2015-06-04 2021-01-08 东芝存储器株式会社 半导体存储装置及其制造方法
KR102472561B1 (ko) * 2015-10-01 2022-12-01 삼성전자주식회사 반도체 메모리 소자
US9780105B2 (en) * 2015-12-30 2017-10-03 Toshiba Memory Corporation Semiconductor memory device including a plurality of columnar structures and a plurality of electrode films
US9953996B2 (en) * 2016-02-10 2018-04-24 Toshiba Memory Corporation Semiconductor memory device
JP2017163044A (ja) * 2016-03-10 2017-09-14 東芝メモリ株式会社 半導体装置およびその製造方法
JP6613177B2 (ja) * 2016-03-11 2019-11-27 キオクシア株式会社 不揮発性半導体記憶装置及びその製造方法
US9673216B1 (en) * 2016-07-18 2017-06-06 Sandisk Technologies Llc Method of forming memory cell film
US9773882B1 (en) 2017-01-12 2017-09-26 Micron Technology, Inc. Integrated structures
US9978772B1 (en) * 2017-03-14 2018-05-22 Micron Technology, Inc. Memory cells and integrated structures
JP2018156975A (ja) * 2017-03-15 2018-10-04 東芝メモリ株式会社 半導体記憶装置
US9985049B1 (en) 2017-04-28 2018-05-29 Micron Technology, Inc. Arrays of elevationally-extending strings of memory cells and methods of forming memory arrays
JP7234110B2 (ja) 2017-07-06 2023-03-07 株式会社半導体エネルギー研究所 メモリセル及び半導体装置
KR101970316B1 (ko) * 2017-07-20 2019-04-18 고려대학교 산학협력단 삼차원 낸드 플래시 메모리 및 그 제조방법
US10453855B2 (en) 2017-08-11 2019-10-22 Micron Technology, Inc. Void formation in charge trap structures
US10164009B1 (en) 2017-08-11 2018-12-25 Micron Technology, Inc. Memory device including voids between control gates
US10680006B2 (en) 2017-08-11 2020-06-09 Micron Technology, Inc. Charge trap structure with barrier to blocking region
US10446572B2 (en) 2017-08-11 2019-10-15 Micron Technology, Inc. Void formation for charge trap structures
KR102505240B1 (ko) 2017-11-09 2023-03-06 삼성전자주식회사 3차원 반도체 메모리 장치
TWI669805B (zh) * 2018-01-04 2019-08-21 力晶積成電子製造股份有限公司 非揮發性記憶體結構及其製造方法
JP2019153626A (ja) 2018-03-01 2019-09-12 東芝メモリ株式会社 半導体記憶装置
KR20200009345A (ko) 2018-07-18 2020-01-30 삼성전자주식회사 3차원 반도체 메모리 소자
US10825828B2 (en) * 2018-10-11 2020-11-03 Micron Technology, Inc. Semiconductor devices and systems with channel openings or pillars extending through a tier stack, and methods of formation
US10727243B1 (en) * 2019-05-09 2020-07-28 Macronix International Co., Ltd. Three dimensional memory device fabricating method and applications thereof
TWI749549B (zh) * 2020-05-08 2021-12-11 力晶積成電子製造股份有限公司 記憶體結構及其製造方法
CN113394228B (zh) * 2021-06-07 2022-05-20 长江存储科技有限责任公司 三维存储器及其制备方法
WO2022099463A1 (en) 2020-11-10 2022-05-19 Yangtze Memory Technologies Co., Ltd. Channel structures having protruding portions in three-dimensional memory device and method for forming the same
CN112567518B (zh) * 2020-11-10 2024-04-09 长江存储科技有限责任公司 具有在三维存储器器件中的突出部分的沟道结构和用于形成其的方法
TW202337014A (zh) * 2021-11-22 2023-09-16 美商應用材料股份有限公司 電荷捕捉削減之nand單元結構

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080173928A1 (en) 2006-12-21 2008-07-24 Fumitaka Arai Nonvolatile semiconductor memory and process of producing the same
US20100171163A1 (en) 2009-01-05 2010-07-08 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices including select gate patterns having different work function from cell gate patterns
US20100244119A1 (en) 2009-03-24 2010-09-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2012094694A (ja) 2010-10-27 2012-05-17 Toshiba Corp 不揮発性半導体記憶装置
JP2012146773A (ja) 2011-01-11 2012-08-02 Hitachi Kokusai Electric Inc 不揮発性半導体記憶装置およびその製造方法
US20120326221A1 (en) 2011-06-21 2012-12-27 Nishant Sinha Multi-tiered semiconductor devices and associated methods

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW390028B (en) 1998-06-08 2000-05-11 United Microelectronics Corp A flash memory structure and its manufacturing
EP2988331B1 (en) 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
US6445029B1 (en) 2000-10-24 2002-09-03 International Business Machines Corporation NVRAM array device with enhanced write and erase
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US6780712B2 (en) 2002-10-30 2004-08-24 Taiwan Semiconductor Manufacturing Company Method for fabricating a flash memory device having finger-like floating gates structure
US6583009B1 (en) 2002-06-24 2003-06-24 Advanced Micro Devices, Inc. Innovative narrow gate formation for floating gate flash technology
US7045849B2 (en) * 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US7148538B2 (en) 2003-12-17 2006-12-12 Micron Technology, Inc. Vertical NAND flash memory array
TWI317950B (en) * 2005-03-21 2009-12-01 Macronix Int Co Ltd Three-dimensional memory devices and methods of manufacturing and operating the same
JP4909894B2 (ja) 2005-06-10 2012-04-04 シャープ株式会社 不揮発性半導体記憶装置およびその製造方法
US7687860B2 (en) 2005-06-24 2010-03-30 Samsung Electronics Co., Ltd. Semiconductor device including impurity regions having different cross-sectional shapes
US7426128B2 (en) * 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US7829938B2 (en) 2005-07-14 2010-11-09 Micron Technology, Inc. High density NAND non-volatile memory device
KR100781563B1 (ko) 2005-08-31 2007-12-03 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법.
US7342272B2 (en) * 2005-08-31 2008-03-11 Micron Technology, Inc. Flash memory with recessed floating gate
JP4762041B2 (ja) 2006-04-24 2011-08-31 株式会社東芝 不揮発性半導体メモリ
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US7667260B2 (en) 2006-08-09 2010-02-23 Micron Technology, Inc. Nanoscale floating gate and methods of formation
JP4768557B2 (ja) 2006-09-15 2011-09-07 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
TWI424536B (zh) * 2007-03-27 2014-01-21 Sandisk 3D Llc 三維反及型記憶體及其製作方法
JP4445514B2 (ja) * 2007-04-11 2010-04-07 株式会社東芝 半導体記憶装置
KR100866966B1 (ko) * 2007-05-10 2008-11-06 삼성전자주식회사 비휘발성 메모리 소자, 그 제조 방법 및 반도체 패키지
TWI340431B (en) 2007-06-11 2011-04-11 Nanya Technology Corp Memory structure and method of making the same
US7910446B2 (en) 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7795673B2 (en) * 2007-07-23 2010-09-14 Macronix International Co., Ltd. Vertical non-volatile memory
US20090039410A1 (en) * 2007-08-06 2009-02-12 Xian Liu Split Gate Non-Volatile Flash Memory Cell Having A Floating Gate, Control Gate, Select Gate And An Erase Gate With An Overhang Over The Floating Gate, Array And Method Of Manufacturing
KR101226685B1 (ko) 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
KR20090079694A (ko) 2008-01-18 2009-07-22 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
JP2009277770A (ja) 2008-05-13 2009-11-26 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010004020A (ja) 2008-05-19 2010-01-07 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP5230274B2 (ja) * 2008-06-02 2013-07-10 株式会社東芝 不揮発性半導体記憶装置
KR101052921B1 (ko) * 2008-07-07 2011-07-29 주식회사 하이닉스반도체 버티컬 플로팅 게이트를 구비하는 플래시 메모리소자의제조방법
KR101551901B1 (ko) * 2008-12-31 2015-09-09 삼성전자주식회사 반도체 기억 소자 및 그 형성 방법
JP5388600B2 (ja) * 2009-01-22 2014-01-15 株式会社東芝 不揮発性半導体記憶装置の製造方法
CN102282651A (zh) 2009-01-29 2011-12-14 国际商业机器公司 具有非平面浮动栅极的存储器晶体管及其制造方法
KR101573697B1 (ko) * 2009-02-11 2015-12-02 삼성전자주식회사 수직 폴딩 구조의 비휘발성 메모리 소자 및 그 제조 방법
KR101495799B1 (ko) * 2009-02-16 2015-03-03 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8148113B2 (en) * 2009-03-19 2012-04-03 Yuan Ze University Method for producing glucosamine by culturing microorganism with low-cost medium
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
JP2011003722A (ja) 2009-06-18 2011-01-06 Toshiba Corp 半導体装置の製造方法
US8258034B2 (en) 2009-08-26 2012-09-04 Micron Technology, Inc. Charge-trap based memory
JP2011166061A (ja) 2010-02-15 2011-08-25 Toshiba Corp 半導体装置の製造方法
KR101663566B1 (ko) * 2010-03-03 2016-10-07 삼성전자주식회사 3차원 반도체 기억 소자 및 그 형성 방법
JP2011187794A (ja) * 2010-03-10 2011-09-22 Toshiba Corp 半導体記憶装置及びその製造方法
US8357970B2 (en) 2010-04-09 2013-01-22 Micron Technology, Inc. Multi-level charge storage transistors and associated methods
KR101738533B1 (ko) * 2010-05-24 2017-05-23 삼성전자 주식회사 적층 메모리 장치 및 그 제조 방법
KR101623546B1 (ko) * 2010-05-28 2016-05-23 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US8803214B2 (en) * 2010-06-28 2014-08-12 Micron Technology, Inc. Three dimensional memory and methods of forming the same
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
JP2013534058A (ja) * 2010-06-30 2013-08-29 サンディスク テクノロジィース インコーポレイテッド 超高密度垂直nandメモリデバイスおよびそれを作る方法
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
JP5703617B2 (ja) 2010-07-23 2015-04-22 ライオン株式会社 口臭消臭製剤
KR101660262B1 (ko) * 2010-09-07 2016-09-27 삼성전자주식회사 수직형 반도체 소자의 제조 방법
KR101763420B1 (ko) * 2010-09-16 2017-08-01 삼성전자주식회사 3차원 반도체 기억 소자 및 그 제조 방법
KR101792778B1 (ko) 2010-10-26 2017-11-01 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
JP2012119445A (ja) 2010-11-30 2012-06-21 Toshiba Corp 半導体記憶装置および半導体記憶装置の製造方法
US8759895B2 (en) * 2011-02-25 2014-06-24 Micron Technology, Inc. Semiconductor charge storage apparatus and methods
KR101206508B1 (ko) 2011-03-07 2012-11-29 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 장치 제조방법
JP2012227326A (ja) 2011-04-19 2012-11-15 Toshiba Corp 不揮発性半導体記憶装置とその製造方法
US8642985B2 (en) * 2011-06-30 2014-02-04 Industrial Technology Research Institute Memory Cell
KR20130024303A (ko) * 2011-08-31 2013-03-08 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
US8912589B2 (en) 2011-08-31 2014-12-16 Micron Technology, Inc. Methods and apparatuses including strings of memory cells formed along levels of semiconductor material
KR101906406B1 (ko) * 2011-12-30 2018-12-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자 및 그 제조방법
US20130256777A1 (en) 2012-03-30 2013-10-03 Seagate Technology Llc Three dimensional floating gate nand memory
US9178077B2 (en) * 2012-11-13 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US8946807B2 (en) 2013-01-24 2015-02-03 Micron Technology, Inc. 3D memory
US9184175B2 (en) 2013-03-15 2015-11-10 Micron Technology, Inc. Floating gate memory cells in vertical memory
US9412753B2 (en) 2014-09-30 2016-08-09 Sandisk Technologies Llc Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9608000B2 (en) 2015-05-27 2017-03-28 Micron Technology, Inc. Devices and methods including an etch stop protection material

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080173928A1 (en) 2006-12-21 2008-07-24 Fumitaka Arai Nonvolatile semiconductor memory and process of producing the same
US20100171163A1 (en) 2009-01-05 2010-07-08 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices including select gate patterns having different work function from cell gate patterns
US20100244119A1 (en) 2009-03-24 2010-09-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2012094694A (ja) 2010-10-27 2012-05-17 Toshiba Corp 不揮発性半導体記憶装置
JP2012146773A (ja) 2011-01-11 2012-08-02 Hitachi Kokusai Electric Inc 不揮発性半導体記憶装置およびその製造方法
US20120326221A1 (en) 2011-06-21 2012-12-27 Nishant Sinha Multi-tiered semiconductor devices and associated methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11043534B2 (en) 2013-03-15 2021-06-22 Micron Technology, Inc. Cell pillar structures and integrated flows

Also Published As

Publication number Publication date
JP2016508670A (ja) 2016-03-22
KR102357067B1 (ko) 2022-02-08
US20140203344A1 (en) 2014-07-24
CN105027285B (zh) 2017-06-20
US8946807B2 (en) 2015-02-03
CN105027285A (zh) 2015-11-04
WO2014116864A1 (en) 2014-07-31
EP2948983A1 (en) 2015-12-02
TWI548065B (zh) 2016-09-01
KR20200143744A (ko) 2020-12-24
TW201442211A (zh) 2014-11-01
CN107256867A (zh) 2017-10-17
TW201526207A (zh) 2015-07-01
CN107256867B (zh) 2020-12-18
KR20150111973A (ko) 2015-10-06
TWI484623B (zh) 2015-05-11
JP2019041118A (ja) 2019-03-14
US10170639B2 (en) 2019-01-01
US20150140797A1 (en) 2015-05-21
JP6434424B2 (ja) 2018-12-05
US9230986B2 (en) 2016-01-05
US20160133752A1 (en) 2016-05-12
TW201737472A (zh) 2017-10-16
TWI575716B (zh) 2017-03-21
EP2948983B1 (en) 2021-07-21
EP2948983A4 (en) 2016-07-20

Similar Documents

Publication Publication Date Title
KR102192977B1 (ko) 3d 메모리
US20200266280A1 (en) Devices and methods including an etch stop protection material
US10847527B2 (en) Memory including blocking dielectric in etch stop tier
KR101821943B1 (ko) 수직 메모리에서의 부동 게이트 메모리 셀들
US8324060B2 (en) NAND flash memory array having pillar structure and fabricating method of the same
US7795088B2 (en) Method for manufacturing memory cell
KR20060021054A (ko) 불휘발성 메모리 장치, 이를 제조하는 방법 및 이를동작시키는 방법
JP2005142354A (ja) 不揮発性半導体記憶装置及びその駆動方法及びその製造方法
KR20060079693A (ko) 2-비트 불휘발성 메모리 장치 및 이를 제조하는 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant