KR102165711B1 - 기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법 - Google Patents

기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR102165711B1
KR102165711B1 KR1020187011787A KR20187011787A KR102165711B1 KR 102165711 B1 KR102165711 B1 KR 102165711B1 KR 1020187011787 A KR1020187011787 A KR 1020187011787A KR 20187011787 A KR20187011787 A KR 20187011787A KR 102165711 B1 KR102165711 B1 KR 102165711B1
Authority
KR
South Korea
Prior art keywords
nozzle
gas
slit
upstream
substrate
Prior art date
Application number
KR1020187011787A
Other languages
English (en)
Other versions
KR20180058808A (ko
Inventor
슈헤이 사이도
다까후미 사사끼
다께오 하나시마
히데나리 요시다
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20180058808A publication Critical patent/KR20180058808A/ko
Application granted granted Critical
Publication of KR102165711B1 publication Critical patent/KR102165711B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판의 면 간 균일성을 향상시키는 것이 가능해진다. 복수 매의 기판을 처리하는 처리실과, 처리실 내에 가스를 공급하는 노즐을 구비하고, 노즐은, 세로 방향으로 개구된 슬릿을 갖고, 슬릿은 노즐의 선단부의 정점까지 형성되어 있다.

Description

기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법
본 발명은 기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치(디바이스)의 제조 공정에 있어서의 기판 처리에서는, 예를 들어 복수 매의 기판을 일괄하여 처리하는 세로형 기판 처리 장치가 사용되고 있다. 세로형 기판 처리 장치에서는, 다공 노즐을 사용하여 기판에 대하여 가스를 공급하는 것이 있다(예를 들어 특허문헌 1).
일본 특허 공개 제2004-6551호 공보
그러나 다공 노즐의 형상과 가스의 종류에 따라서는, 다공 노즐 내에서 가스가 과잉 분해되어 버려 기판의 면 간 균일성에 악영향을 미치는 경우가 있다. 본 발명은 이와 같은 사정을 감안하여 이루어진 것이며, 그 목적은, 기판의 면 간 균일성을 향상시키는 것이 가능한 기술을 제공하는 데 있다.
본 발명의 일 양태에 의하면,
복수 매의 기판을 처리하는 처리실과,
상기 처리실 내에 가스를 공급하는 노즐을 구비하고,
상기 노즐은,
세로 방향으로 개구된 슬릿을 갖고,
상기 슬릿은 상기 가스 노즐의 선단부의 정점까지 형성되어 있는 기술이 제공된다.
본 발명에 의하면, 기판의 면 간 균일성을 향상시키는 것이 가능해진다.
도 1은 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 일례를 개략적으로 도시하는 종단면도이다.
도 2는 본 발명의 실시 형태에서 적합하게 사용되는 처리로의 일례를 개략적으로 도시하는 평면도이다.
도 3은 본 발명의 실시 형태에서 적합하게 사용되는 노즐의 일례를 개략적으로 도시하는 사시도이다.
도 4는 각 노즐 형상에 있어서의 가스 유량과 노즐 내압의 시뮬레이션 결과를 나타내는 도면이다.
도 5는 각 노즐 형상에 있어서의 웨이퍼 중앙의 가스 유속의 시뮬레이션 결과를 나타내는 도면이다.
도 6은 각 노즐 형상에 있어서의 웨이퍼 중앙의 가스 유속의 시뮬레이션 결과를 나타내는 도면이다.
도 7의 (A)는 본 발명의 일 실시 형태에 있어서의 노즐의 변형예를, (B)는 본 발명의 일 실시 형태에 있어서의 다른 노즐의 변형예를, (C)는 본 발명의 일 실시 형태에 있어서의 노즐의 또 다른 변형예를, (D)는 본 발명의 일 실시 형태에 있어서의 노즐의 또 다른 변형예를 각각 도시하는 도면이다.
도 8은 제2 실시 형태에서 적합하게 사용되는 노즐의 일례를 개략적으로 도시하는 사시도이다.
도 9는 제2 실시 형태에서 적합하게 사용되는 처리로의 일례를 개략적으로 도시하는 평면도이다.
도 10은 제2 실시 형태에서 적합하게 사용되는 노즐의 Si 라디칼 농도 분포의 시뮬레이션 결과를 나타내는 도면이다.
도 11은 제2 실시 형태에서 적합하게 사용되는 노즐의 Si 라디칼 농도 분포의 시뮬레이션 결과를 나타내는 도면이다.
도 12의 (A)는 본 발명의 제2 실시 형태에 있어서의 노즐의 변형예를, (B)는 본 발명의 제2 실시 형태에 있어서의 다른 노즐의 변형예를 각각 도시하는 도면이다.
이하, 도면을 참조하면서 본 발명의 한정적이지 않은 예시의 실시 형태에 대하여 설명한다. 전체 도면 중, 동일하거나 또는 대응하는 구성에 대해서는 동일하거나 또는 대응하는 참조 부호를 붙여, 중복되는 설명을 생략한다.
본 실시 형태에 있어서, 기판 처리 장치는, 반도체 장치(디바이스)의 제조 방법에 있어서의 제조 공정의 일 공정으로서 열처리 등의 기판 처리 공정을 실시하는 세로형 기판 처리 장치(이하, 처리 장치라 칭함)(2)로서 구성되어 있다. 도 1에 도시한 바와 같이 처리 장치(2)는, 원통 형상의 반응관(10)과, 반응관(10)의 외주에 설치된 가열 수단(가열 기구)으로서의 히터(12)를 구비한다. 반응관은, 예를 들어 석영이나 SiC에 의하여 형성된다. 반응관(10)의 내부에는, 기판으로서의 웨이퍼 W를 처리하는 처리실(14)이 형성된다.
도 2에 도시한 바와 같이 반응관(10)에는, 외측으로 돌출되도록 가스 공급실로서의 공급 버퍼실(10A)과 배기 버퍼실(10B)이 대면하여 형성되어 있다. 공급 버퍼실(10A) 내 및 배기 버퍼실(10B) 내는 격벽(10C)에 의하여 복수의 공간으로 구획되어 있다. 공급 버퍼실(10A) 내의 각 구획에는 후술하는 노즐(44a, 44b)이 각각 설치된다. 공급 버퍼실(10A) 및 배기 버퍼실(10B)의 내벽측(처리실(14)측)에는 복수의, 가로로 긴 형상의 슬릿(10D)이 각각 형성되어 있다. 반응관(10)에는 온도 검출기로서의 온도 검출부(16)가 설치된다. 온도 검출부(16)는 반응관(10)의 외벽을 따라 세워져서 설치되어 있다.
도 1에 도시한 바와 같이 반응관(10)의 하단 개구부에는, 원통형의 매니폴드(18)가 O링 등의 시일 부재(20)를 개재하여 연결되어, 반응관(10)의 하단부를 지지하고 있다. 매니폴드(18)는, 예를 들어 스테인리스 등의 금속에 의하여 형성되어 있다. 매니폴드(18)의 하단 개구부는 원반 형상의 덮개부(22)에 의하여 개폐된다. 덮개부(22)는, 예를 들어 금속에 의하여 형성되어 있다. 덮개부(22)의 상면에는 O링 등의 시일 부재(20)가 설치되어 있으며, 이것에 의하여 반응관(10) 내와 외기가 기밀하게 시일되어 있다. 덮개부(22) 상에는, 중앙에 상하에 걸쳐 구멍이 형성된 단열부(24)가 적재되어 있다. 단열부(24)는, 예를 들어 석영에 의하여 형성되어 있다.
처리실(14)은 복수 매, 예를 들어 25 내지 150매의 웨이퍼 W를 수직으로 선반 형상으로 지지하는 기판 보유 지지구로서의 보트(26)를 내부에 수납한다. 보트(26)는, 예를 들어 석영이나 SiC로 형성된다. 보트(26)는, 덮개부(22) 및 단열부(24)를 관통하는 회전축(28)에 의하여 단열부(24)의 상방에 지지된다. 덮개부(22)의 회전축(28)이 관통하는 부분에는, 예를 들어 자성 유체 시일이 설치되며, 회전축(28)은 덮개부(22)의 하방에 설치된 회전 기구(30)에 접속된다. 이것에 의하여, 회전축(28)은 반응관(10)의 내부를 기밀하게 시일한 상태에서 회전 가능하게 구성된다. 덮개부(22)는 승강 기구로서의 보트 엘리베이터(32)에 의하여 상하 방향으로 구동된다. 이것에 의하여 보트(26) 및 덮개부(22)가 일체적으로 승강되어, 반응관(10)에 대하여 보트(26)가 반출입된다.
처리 장치(10)는, 기판 처리에 사용되는 가스를 처리실(14) 내에 공급하는 가스 공급 기구(34)를 구비하고 있다. 가스 공급 기구(34)가 공급하는 가스는 성막되는 막의 종류에 따라 바뀐다. 여기서는, 가스 공급 기구(34)는 원료 가스 공급부, 반응 가스 공급부 및 불활성 가스 공급부를 포함한다.
원료 가스 공급부는 가스 공급관(36a)을 구비하며, 가스 공급관(36a)에는 상류 방향으로부터 순서대로 유량 제어기(유량 제어부)인 매스 플로 컨트롤러(MFC)(38a) 및 개폐 밸브인 밸브(40a)가 설치되어 있다. 가스 공급관(36a)은 매니폴드(18)의 측벽을 관통하는 노즐(44a)에 접속된다. 노즐(44a)은 공급 버퍼실(10A) 내에 상하 방향을 따라 세워져서 설치되며, 보트(26)에 보유 지지되는 웨이퍼 W를 향하여 개구되는 가스 공급구로서의, 세로로 긴 형상의 슬릿(45a)이 형성되어 있다. 노즐(44a)의 슬릿(45a)을 통하여 공급 버퍼실(10A) 내에 원료 가스가 확산되고, 공급 버퍼실(10A)의 슬릿(10D)을 통하여 웨이퍼 W에 대하여 원료 가스가 공급된다. 노즐(44a)의 상세에 대해서는 후술한다.
이하, 마찬가지의 구성으로, 반응 가스 공급부로부터는 공급관(36b), MFC(38b), 밸브(40b), 노즐(44b) 및 슬릿(10D)을 통하여 반응 가스가 웨이퍼 W에 대하여 공급된다. 노즐(44b)에는, 보트(26)에 보유 지지되는 웨이퍼 W를 향하여 개구되는 복수의 가스 공급 구멍(45b)이 형성되어 있다. 불활성 가스 공급부로부터는 공급관(36c, 36d), MFC(38c, 38d), 밸브(40c, 40d), 노즐(44a, 44b) 및 슬릿(10D)을 통하여 웨이퍼 W에 대하여 불활성 가스가 공급된다.
반응관(10)에는, 배기 버퍼실(10B)에 연통되도록 배기관(46)이 설치되어 있다. 배기관(46)에는, 처리실(14) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(48) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(50)를 개재하여, 진공 배기 장치로서의 진공 펌프(52)가 접속되어 있다. 이와 같은 구성에 의하여 처리실(14) 내의 압력을, 처리에 따른 처리 압력으로 할 수 있다.
회전 기구(30), 보트 엘리베이터(32), 가스 공급 기구(34)의 MFC(38a 내지 38d) 및 밸브(40a 내지 40d), APC 밸브(50)에는, 이들을 제어하는 컨트롤러(100)가 전기적으로 접속되어 있다. 컨트롤러(100)는, 예를 들어 CPU를 구비한 마이크로프로세서(컴퓨터)를 포함하며, 처리 장치(2)의 동작을 제어하도록 구성되어 있다. 컨트롤러(100)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(102)가 접속되어 있다.
컨트롤러(100)에는 기억 매체로서의 기억부(104)가 접속되어 있다. 기억부(104)에는, 처리 장치(10)의 동작을 제어하는 제어 프로그램이나, 처리 조건에 따라 처리 장치(2)의 각 구성부에 처리를 실행시키기 위한 프로그램(레시피라고도 함)이 판독 가능하게 저장된다.
기억부(104)는, 컨트롤러(100)에 내장된 기억 장치(하드 디스크나 플래시 메모리)여도 되고, 가반성의 외부 기록 장치(자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광 자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)여도 된다. 또한 컴퓨터로의 프로그램의 제공은 인터넷이나 전용 회선 등의 통신 수단을 이용하여 행해도 된다. 프로그램은 필요에 따라 입출력 장치(102)로부터의 지시 등으로 기억부(104)로부터 판독되며, 판독된 레시피에 따른 처리를 컨트롤러(100)가 실행함으로써 처리 장치(2)는 컨트롤러(100)의 제어 하에, 원하는 처리를 실행한다.
다음으로, 상술한 처리 장치(2)를 사용하여 기판 상에 막을 형성하는 처리(성막 처리)에 대하여 설명한다. 여기서는, 웨이퍼 W에 대하여 원료 가스로서 HCDS(Si2Cl6: 헥사클로로디실란) 가스와 반응 가스로서 NH3(암모니아) 가스를 공급함으로써 웨이퍼 W 상에 실리콘 질화(SiN)막을 형성하는 예에 대하여 설명한다. 또한 이하의 설명에 있어서, 처리 장치(2)를 구성하는 각 부의 동작은 컨트롤러(100)에 의하여 제어된다.
(웨이퍼 차지 및 보트 로드)
복수 매의 웨이퍼 W가 보트(26)에 장전(웨이퍼 차지)되면, 보트(26)는 보트 엘리베이터(32)에 의하여 처리실(14) 내에 반입(보트 로드)되고, 반응관(10)의 하부 개구는 덮개부(22)에 의하여 기밀하게 폐색(시일)된 상태로 된다.
(압력 조정 및 온도 조정)
처리실(14) 내가 소정의 압력(진공도)으로 되도록 진공 펌프(52)에 의하여 진공 배기(감압 배기)된다. 처리실(14) 내의 압력은 압력 센서(48)에 의해 측정되며, 이 측정된 압력 정보에 기초하여 APC 밸브(50)가 피드백 제어된다. 또한 처리실(14) 내의 웨이퍼 W가 소정의 온도로 되도록 히터(12)에 의하여 가열된다. 이때, 처리실(14)이 소정의 온도 분포로 되도록, 온도 검출부(16)가 검출한 온도 정보에 기초하여 히터(12)로의 통전 상태가 피드백 제어된다. 또한 회전 기구(30)에 의한 보트(26) 및 웨이퍼 W의 회전을 개시한다.
(성막 처리)
[원료 가스 공급 공정]
처리실(14) 내의 온도가 미리 설정된 처리 온도로 안정되면, 처리실(14) 내의 웨이퍼 W에 대하여 HCDS 가스를 공급한다. HCDS 가스는 MFC(38a)에서 원하는 유량으로 되도록 제어되어 가스 공급관(36a), 노즐(44a) 및 슬릿(10D)을 통하여 처리실(14) 내에 공급된다.
[원료 가스 배기 공정]
다음으로, HCDS 가스의 공급을 정지시키고 진공 펌프(52)에 의하여 처리실(14) 내를 진공 배기한다. 이때, 불활성 가스 공급부로부터 불활성 가스로서 N2 가스를 처리실(14) 내에 공급해도 된다(불활성 가스 퍼지).
[반응 가스 공급 공정]
다음으로, 처리실(14) 내의 웨이퍼 W에 대하여 NH3 가스를 공급한다. NH3 가스는 MFC(38b)에서 원하는 유량으로 되도록 제어되어 가스 공급관(36b), 노즐(44b) 및 슬릿(10D)을 통하여 처리실(14) 내에 공급된다.
[반응 가스 배기 공정]
다음으로, NH3 가스의 공급을 정지시키고 진공 펌프(52)에 의하여 처리실(14) 내를 진공 배기한다. 이때, 불활성 가스 공급부로부터 N2 가스를 처리실(14) 내에 공급해도 된다(불활성 가스 퍼지).
상술한 4개의 공정을 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼 W 상에 소정 조성 및 소정 막 두께의 SiN막을 형성할 수 있다.
(보트 언로드 및 웨이퍼 디스차지)
소정 막 두께의 막을 형성한 후, 불활성 가스 공급부로부터 N2 가스가 공급되어 처리실(14) 내의 분위기가 N2 가스로 치환됨과 함께, 처리실(14)의 압력이 상압으로 복귀된다. 그 후, 보트 엘리베이터(32)에 의하여 덮개부(22)가 강하되고, 보트(26)가 반응관(10)으로부터 반출(보트 언로드)된다. 그 후, 처리가 완료된 웨이퍼 W는 보트(26)로부터 취출된다(웨이퍼 디스차지).
웨이퍼 W에 SiN막을 형성할 때의 처리 조건으로서는, 예를 들어 하기가 예시된다.
처리 온도(웨이퍼 온도): 300℃ 내지 700℃
처리 압력(처리실 내 압력): 1㎩ 내지 4000㎩
HCDS 가스: 100sccm 내지 10000sccm
NH3 가스: 100sccm 내지 10000sccm
N2 가스: 100sccm 내지 10000sccm
각각의 처리 조건을 각각의 범위 내의 값으로 설정함으로써, 성막 처리를 적정하게 진행시키는 것이 가능해진다.
다음으로, 제1 실시 형태에 있어서의 노즐(44a)의 형상에 대하여 설명한다.
도 3에 도시한 바와 같이 노즐(44a)은, 선단이 돔 형상으로 형성된 롱 노즐이며, 노즐(44a)의 측면(웨이퍼 W측)에는, 웨이퍼 배열 방향을 따라 가스 공급구로서 가늘고 긴 형상의 슬릿(45a)이 형성되어 있다. 슬릿(45a)의 길이는, 바람직하게는 웨이퍼 W의 배열 길이보다도 길게 하는 것이 좋다. 예를 들어 웨이퍼 W의 배열 길이에 웨이퍼 W 간(피치 간) 분의 길이를 상하에 더한 길이가 바람직하다. 즉, 슬릿(45a)의 상단부의 위치가 보트(26)에 보유 지지되는 최상단의 웨이퍼 W의 높이 위치보다 높아지도록, 또한 슬릿(45a)의 하단부의 위치가 보트(26)에 보유 지지되는 최하단의 웨이퍼 W의 높이 위치보다 낮아지도록 형성하는 것이 바람직하다. 이와 같은 구성에 의하여, 웨이퍼 W의 배열 방향에 있어서, 균등한 양으로 가스를 공급할 수 있다.
슬릿의 폭은, 바람직하게는 0.5㎜ 이상 3㎜ 이하(0.5㎜ 내지 3㎜)이고, 보다 바람직하게는 1 내지 2㎜이다. 달리 말하면 슬릿의 폭은, 바람직하게는 노즐(44a)의 내경의 0.02배 이상 0.2배 이하(0.02 내지 0.2배)이고, 보다 바람직하게는 0.04 내지 0.13배이다. 슬릿 폭이 0.5㎜보다 좁은 경우(노즐(44a)의 내경의 0.02배 미만인 경우), 노즐 내압이 상승해 버린다. 또한 슬릿 폭이 3㎜보다 넓은 경우(노즐(44a)의 내경의 0.2배보다 큰 경우), 웨이퍼 W의 성막 균일성이 악화되어 버린다. 따라서 슬릿의 폭을 0.5㎜ 내지 3㎜(노즐(44a)의 내경의 0.02 내지 0.2배)로 함으로써, 노즐 내압의 과잉 상승을 억제할 수 있어 성막 균일성을 향상시킬 수 있다. 또한 슬릿의 폭을 1 내지 2㎜(노즐(44a)의 내경의 0.04 내지 0.13배)로 함으로써, 보다 성막 균일성을 향상시킬 수 있다.
노즐(44a)의 슬릿(45a)은 선단부(돔 형상의 천장부)의 정점까지 형성되어 있다. 이와 같은 구성에 의하여 노즐(44a) 내 선단부의 가스 체류를 억제할 수 있다. 또한 노즐(44a) 내의 잔류 가스를 효율적으로 퍼지할 수 있어 생산성을 향상시킬 수 있다. 또한 공급 버퍼실(10A) 내의 상부를 향하여 가스를 공급함으로써, 공급 버퍼실(10A)의 상부에 있어서의 가스의 체류를 억제할 수 있다. 또한 공급 버퍼실(10A) 내에 있어서, 상하 방향으로 가스 확산을 균일화할 수 있다.
다음으로, 다공 노즐 및 선단 개방 노즐과 제1 실시 형태에 있어서의 노즐(슬릿 노즐)과의 비교 결과에 대하여 설명한다. 여기서는, 처리실 온도를 650℃, 처리실 압력을 5㎩로 하고, HCDS 가스를 각 노즐로부터 흐르게 한 것으로서 시뮬레이션을 행하였다.
먼저, 도 4를 이용하여 노즐 내압의 시뮬레이션 결과에 대하여 설명한다. 도 4에 나타낸 바와 같이, 슬릿 노즐은 다공 노즐보다도 노즐 내압을 대폭 낮출 수 있다. 또한 다공 노즐은, 가스 유량을 2배로 하면 노즐 내압도 약 2배로 되고, 또한 노즐 내압은 높은 압력인 채로 되어 있다. 이에 비하여 슬릿 노즐은, 가스 유량을 2배로 하더라도 노즐 내압은 낮은 채이다. 즉, 슬릿 노즐에 있어서는, 가스 유량을 증가시키더라도 노즐의 내압을, 가스가 노즐 내에서 분해되는 소정의 압력보다도 낮은 압력으로 유지할 수 있음을 알 수 있다. 또한 슬릿 노즐의 슬릿 폭이 넓을수록 노즐 내압을 낮추게 할 수 있다.
다음으로, 도 5 및 도 6을 이용하여 웨이퍼 중앙부에서의 가스 유속의 시뮬레이션 결과에 대하여 설명한다. 도 5에 나타낸 바와 같이, 다공 노즐과 슬릿 노즐 사이에서 유속의 면 간 균일성에 큰 차는 없다. 즉, 슬릿 노즐에 있어서는, 유속의 면 간 균일성을 확보하면서 노즐 내압을 저감시킬 수 있다.
도 6에 나타낸 바와 같이 선단 개방 노즐에서는, 가스 유량을 2배로 하면 면 간의 유속 분포가 크게 변화된다. 즉, 가스 유량을 증가시키면, 하부의 웨이퍼의 유속은 거의 변화되지 않는 한편, 상부의 웨이퍼의 유속이 빨라진다. 선단 개방 노즐에서는, 가스 유량을 증가시킴으로써 가스의 분출 높이가 높아지기 때문에, 상부의 웨이퍼에서는 가스가 대량으로 유입되어 가스 유속이 빨라진다. 한편, 하부의 웨이퍼에서는 가스의 유입량에 변화가 없기 때문에 가스의 유속은 거의 변화되지 않는다. 이에 비하여, 슬릿 노즐에서는 면 간의 유속 분포의 형상은 거의 변화되지 않으며, 전체적으로 유속이 빨라져 있다. 즉, 슬릿 노즐로 함으로써, 면 간의 유속 분포를 확보한 채 가스 유량을 변화시킬 수 있다.
<본 실시 형태에 의한 효과>
본 실시 형태에 의하면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
(1) 슬릿을 천장부의 정상까지 형성함으로써 노즐 내의 가스 체류를 억제할 수 있다. 가스의 체류부가 있으면, 그 부분에서 가스의 분해가 진행됨으로써, 가스의 농도가 면 간에서 불균일해져 버리는 경우가 있다. 또한 가스 체류를 억제함으로써, 노즐 내에 남은 원료 가스를 불활성 가스에 의하여 퍼지하기 위한 시간을 단축할 수 있어, 생산성을 향상시킬 수 있다.
(2) 가스 공급구를 슬릿 형상으로 함으로써, 가스 유량을 증가시키더라도 노즐 내압의 상승을 억제할 수 있고 또한 가스 유량을 증가시킬 수 있기 때문에, 프로세스 윈도를 넓힐 수 있어 성막의 품질을 향상시킬 수 있다. 또한 노즐 내압이 상승하면, 노즐 내에서 가스가 성막되어 버려 파티클의 발생원으로 되어 버리는 경우가 있다. 본 발명의 노즐에 의하면, 노즐 내압의 상승을 억제할 수 있기 때문에 파티클의 발생을 억제할 수 있다.
(3) 가스를 2단계로 정류시킴으로써 면 간의 균일성을 향상시킬 수 있다. 노즐로부터 공급된 가스는 노즐 슬릿에서 정류되어 균일하게 흐르고, 나아가 공급 버퍼실의 슬릿에서 1단계 더 정류됨으로써, 상하 방향으로 균일한 농도로 웨이퍼에 공급할 수 있다.
(변형예)
본 실시 형태에 있어서의 노즐은 상술한 양태에 한정되지 않으며, 이하에 나타내는 변형예와 같은 양태로 변경할 수 있다.
(변형예 1)
도 7의 (A)에 도시한 바와 같이 슬릿(45a)은, 선단부의 정점까지가 아니라 정점을 넘어 후방측(반대측)까지 형성해도 된다. 이와 같은 구성에 의하여, 가스가 체류하기 쉬운 버퍼실(10A)의 상부의 코너부에도 가스를 직접 공급할 수 있기 때문에, 코너부에 있어서의 가스의 체류를 억제할 수 있어 막의 품질을 향상시킬 수 있다.
(변형예 2)
도 7의 (B)에 도시한 바와 같이 슬릿(45a)의 상방(예를 들어 슬릿(45a) 상부의 1/3 정도)의 폭을 하방의 폭보다도 크게 형성해도 된다. 이와 같은 구성에 의하여, 상부에 있어서의 가스 유량을 증가시킬 수 있어 면 간 균일성을 향상시킬 수 있다.
(변형예 3)
도 7의 (C)에 도시한 바와 같이 슬릿(45a)의 상단부는 개방되어 있어도 된다. 이때, 슬릿(45a)은 상단부까지 형성되어 있지 않아도 된다. 이와 같은 구성에 의하여, 상부에 있어서의 가스 유량을 증가시킬 수 있어 면 간 균일성을 향상시킬 수 있다. 또한 노즐 내의 가스 체류를 억제할 수 있어 막의 특성을 향상시킬 수 있다.
(변형예 4)
도 7의 (D)에 도시한 바와 같이 슬릿은 복수로 분할되어 있어도 된다. 이와 같은 구성에 의하여 노즐의 강도를 향상시킬 수 있다.
다음으로, 제2 실시 형태의 노즐(44a)에 대하여 설명한다. 여기서, 슬릿(45a)의 형상은 제1 실시 형태와 마찬가지로 구성된다.
도 8에 도시한 바와 같이 노즐(44a)은, 상방으로 기립하고 접힘부(70)에서 하방으로 접히는 역U자 형상으로 형성되어 있다. 접힘부(70)보다 하류측의 하류부(72)에는 가스 공급구로서의 슬릿(45a)이 형성되어 있다. 이와 같은 구성에 의하여, 접힘부(70)보다 상류측의 상류부(74)에 있어서, 가스를 히터(12)로부터 가열시킬 수 있다. 상류부(74)에 있어서 가스를 효율적으로 가열할 수 있기 때문에, 원하는 분해 상태에서 가스를 웨이퍼 W에 공급할 수 있다. 예를 들어 가스의 분해 상태는 상하 간에서 몰분율 10% 이하로 할 수 있다. 가스의 분해 상태가 상하 간에서 몰분율 10%보다도 큰 경우, 면 간 균일성에 악영향을 미치게 된다.
상류부(74)의 하방에는, 가스 공급관과 접속하는 기부(78)가 형성된다. 또한 기부(78)와 상류부(74)를 접속하도록 경사부(76)가 형성된다. 상류부(74), 하류부(72) 및 기부(78)는 서로 평행하게 형성된다. 노즐(44a)은 정면에서 보아, 기부(78)의 중심선 C1이 상류부(74)의 중심선 C2와 하류부(72)의 중심선 C3 사이에 위치하도록 구성된다. 여기서는, 예를 들어 기부(78)의 중심선 C1이 상류부(74)의 내측의 외벽에, 상류부(74)의 중심선 C2가 기부(78)의 외측의 외벽에 위치하도록 구성되어 있다. 기부(78)의 중심선 C1이 상류부(74)의 중심선 C2와 하류부(72)의 중심선 C3의 중간에 위치하도록 구성되어 있어도 된다. 이와 같은 구성에 의하여, 노즐(44a)을 안정되게 지지할 수 있어 노즐(44a) 내의 가스 흐름을 원활히 할 수 있다.
정면에서 보아, 제2 실시 형태에 있어서의 노즐(44a)의 슬릿(45a)의 형성 위치는, 제1 실시 형태에 있어서의 노즐의 슬릿의 형성 위치보다도 수평 방향으로 어긋나 있다. 즉, 제1 실시 형태에 있어서는, 제2 실시 형태에 있어서의 기부(78)의 중심선 C1 상에 슬릿이 형성되어 있다. 이에 비하여 제2 실시 형태에 있어서는, 슬릿(45a)은 하류부(72)의 중심선 C3 상에 형성되어 있다. 하류부(72)는 웨이퍼 영역을 커버할 수 있는 위치까지 하방으로 연신되어 형성되어 있다. 예를 들어 하류부(72)의 선단부는 보트(26)의 하판과 동일한 높이 위치 이하로 되도록 형성되어 있다. 또한 접힘부(70)는 보트(26)의 상판과 동일한 높이 위치 이상으로 되도록 형성된다. 이와 같은 구성에 의하여 슬릿(45a)을 웨이퍼 W의 배열 길이보다도 길게 형성할 수 있다.
도 9에 도시한 바와 같이, 슬릿(45a)이 웨이퍼 W의 중심을 향하도록 노즐(44a)은 공급 버퍼실(10A) 내에서 비스듬히 설치된다. 즉, 노즐(44a)은, 인접하는 노즐(44b)의 중심과 웨이퍼 W의 중심을 연결하는 선을 반경 r로 한 가상 원 R 상에 상류부(74)의 중심과 하류부(72)의 중심이 위치하도록 설치된다. 바람직하게는 평면에서 보아, 상류부(74)의 중심과 하류부(72)의 중심을 연결하는 선 L1과, 기부(78)의 중심과 웨이퍼 W의 중심을 연결하는 선 L2가 이루는 각(L1로부터 L2로 반시계 방향을 향하는 각)이 0° 내지 90°로 되도록 배치된다. 선 L1과 선 L2가 이루는 각이 0°보다도 작은 경우 또는 90°보다도 큰 경우, 공급 버퍼실(10A)의 벽면에 대하여 공급되는 가스가 많아져 버려 가스의 유속이나 유량이 억제되어 버리는 경우가 있다. 따라서 노즐(44a)은, 선 L1과 선 L2가 이루는 각이 0° 내지 90°로 되도록 배치되는 것이 바람직하다. 달리 말하면, 상류부(74) 쪽이 하류부(72)보다도 히터(12)에 가깝고 하류부(72) 쪽이 상류부(74)보다도 웨이퍼 W에 가까워지도록 설치되어도 된다. 보다 바람직하게는, 선 L1과 선 L2가 이루는 각이 직각으로 되도록 배치된다. 이와 같은 구성에 의하여 가스를 웨이퍼 W 중앙을 향하여 공급할 수 있다. 또한 웨이퍼 W와 각 노즐의 가스 공급 구멍의 거리를 동일하게 할 수 있다.
슬릿(45a)은 평면에서 보아, 선 L1보다도 웨이퍼 W측의 영역에 형성되는 것이 바람직하다. 달리 말하면, 슬릿(45a)은 평면에서 보아, 선 L1을 기준으로 하여 반시계 방향으로 0° 내지 180°의 범위(반원 상)에 형성되는 것이 바람직하다. 즉, 정면에서 보아, 하류부(72)의 중심선 C3 상이 아니라 중심선 C3보다도 상류부(74)측(내측) 또는 외측에 치우쳐 형성되어 있어도 된다. 이와 같은 구성에 의하여, 상술한 바와 같이 노즐(44a)을, 선 L1과 선 L2가 이루는 각이 0° 내지 90°로 되도록 설치한 경우에 있어서도, 웨이퍼 W 중앙을 향하여 가스를 공급할 수 있다.
다음으로, 제1 실시 형태에 있어서의 노즐(슬릿 노즐)과 제2 실시 형태에 있어서의 노즐(U형 슬릿 노즐)의 시뮬레이션 결과에 대하여 설명한다. 여기서는, HCDS 가스를 사용하여 시뮬레이션을 행하였다.
도 10에 나타낸 바와 같이, U형 슬릿 노즐을 사용함으로써 Si 라디칼 농도의 면 간 균일성을 더욱 향상시킬 수 있다. 특히 웨이퍼의 중심 부분에 있어서, 상하 간의 HCDS 가스의 분해 상태를 보다 일치시킬 수 있다.
또한 U형 슬릿 노즐의 선단부에 있어서 다소의 원료 가스의 분해가 보여지지만, 원료 가스의 분해 개소가 웨이퍼 하부에 위치하고 있기 때문에 면 간의 균일성에 대한 영향을 적게 할 수 있다. 즉, 도 11에 나타낸 바와 같이 면 간의 Si 라디칼 농도의 분압의 변동을 보다 평탄하게 할 수 있다.
일반적으로 다공 노즐 등의 통상의 노즐, 즉, 접힘부와 하류부를 구비하지 않는 상류부에 구성되는 노즐(스트레이트 노즐) 내에서는, 노즐 선단으로 갈수록 노즐 내에 있어서의 가스의 체류 시간이 길어지기 때문에 가스의 분해가 촉진된다. 따라서 통상의 노즐에서는 분해된 성분은 상부에서 많아진다. 이에 비하여 U형 슬릿 노즐에서는, 분해 성분 가스 농도를 통상의 슬릿 노즐과 상하 역전시킬 수 있어 분해 성분 가스 농도를 U형 슬릿 노즐의 하부에서 많게 할 수 있다. 즉, U형 슬릿 노즐의 하류부의 하단부(노즐의 선단)에 근접할수록 노즐 내에서의 가스 체류 시간이 길어지기 때문에 분해 상태의 가스를 많이 공급할 수 있다. 달리 말하면, U형 슬릿 노즐은 스트레이트 노즐과 비교하여 노즐 내에서의 가스 체류 시간을 길게 하는 것이 가능해진다. 이것에 의하여, 웨이퍼 W 중심부에 있어서, 분해 성분 가스 농도를 면 간에서 일치시킬 수 있어 면 간 균일성을 향상시킬 수 있다.
제2 실시 형태에 있어서의 노즐은 상술한 양태에 한정되지 않으며, 이하에 나타내는 변형예와 같은 양태로 변경할 수 있다.
(변형예 5)
도 12의 (A)에 도시한 바와 같이 상류부(74)에도 슬릿을 형성해도 된다. 예를 들어 하류부(72)의 슬릿의 폭보다도 상류부(74)의 슬릿의 폭을 좁게 해도 된다. 또한 예를 들어 상류부(74)에 슬릿이 아니라 다공을 형성해도 된다. 이와 같은 구성에 의하여, 원하는 분해 상태에서 가스를 웨이퍼 W에 공급할 수 있다.
(변형예 6)
도 12의 (B)에 도시한 바와 같이 슬릿(45a)의 길이를 웨이퍼 W의 배열 길이보다도 짧은 길이로 형성해도 된다. 예를 들어 슬릿(45a)의 상단부의 위치가 보트(26)에 보유 지지되는 최상단 내지 중단의 웨이퍼 W의 높이 위치로 되도록, 또한 슬릿(45a)의 하단부의 위치가 보트(26)에 보유 지지되는 최하단의 웨이퍼 W의 높이 위치보다 낮아지도록 형성해도 된다. 달리 말하면 슬릿(45a)의 길이는, 하단부 내지 중단에 보유 지지된 웨이퍼 W의 배열 길이를 커버하는 길이여도 된다. 이와 같은 구성에 의하여, 상단부에 보유 지지된 웨이퍼 W로의 가스의 과잉 공급을 억제할 수 있어 면 간 균일성을 향상시킬 수 있다.
이상, 본 발명의 실시 형태를 구체적으로 설명하였다. 그러나 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어 상술한 실시 형태에서는, 원료 가스로서 HCDS 가스를 사용하는 예에 대하여 설명했지만, 본 발명은 이와 같은 양태에 한정되지 않는다. 예를 들어 원료 가스의 분해가 웨이퍼면 간의 균일성에 영향을 주는 가스에 본 노즐을 사용하는 것이 바람직하다. 또한 예를 들어 원료 가스의 분해 온도와 프로세스 온도가 가까운 경우에도 적합하게 사용된다.
또한 예를 들어 원료 가스로서는 HCDS 가스 외에, DCS(SiH2Cl2: 디클로로실란) 가스, MCS(SiH3Cl: 모노클로로실란) 가스, TCS(SiHCl3: 트리클로로실란) 가스 등의 무기계 할로실란 원료 가스나, 3DMAS(Si[N(CH3)2]3H: 트리스디메틸아미노실란) 가스, BTBAS(SiH2[NH(C4H9)]2: 비스터셔리부틸아미노실란) 가스 등의 할로겐기 비함유의 아미노계(아민계) 실란 원료 가스나, MS(SiH4: 모노실란) 가스, DS(Si2H6: 디실란) 가스 등의 할로겐기 비함유의 무기계 실란 원료 가스를 사용할 수 있다.
또한, 예를 들어 본 발명은, 웨이퍼 W 상에, 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 니오븀(Nb), 알루미늄(Al), 몰리브덴(Mo), 텅스텐(W) 등의 금속 원소를 포함하는 막, 즉, 금속계 막을 형성하는 경우에 있어서도 적합하게 적용 가능하다.
또한 상술한 실시 형태나 변형예는 적절히 조합하여 사용할 수 있다.
14: 처리실
44a: 노즐
45a: 슬릿

Claims (14)

  1. 세로 방향으로 다단으로 보유 지지된 복수 매의 기판을 처리하는 반응관과,
    상기 반응관 내에 세로 방향으로 삽입 관통되어, 보트에 기판이 보유 지지되는 영역에 걸쳐 열분해성의 가스를 공급하는 노즐과,
    상기 노즐로부터 공급되는 상기 가스의 유량을 제어하는 유량 제어기를 구비하고,
    상기 노즐은, 측면에 있어서 세로 방향으로 개구된 슬릿을 갖고,
    상기 슬릿은, 상기 노즐의 돔 형상으로 형성된 선단부의 정점까지 연결되어 형성되어 있는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 슬릿은 복수로 분할하여 형성되고,
    상기 슬릿의 상단은, 복수 매의 상기 기판의 최상단의 기판의 위치보다도 높은 위치에 형성되고,
    상기 슬릿의 하단은, 복수 매의 상기 기판의 최하단의 기판의 위치보다도 낮은 위치에 형성되는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 반응관은,
    세로 방향의 원통과,
    원통의 측부로부터 바깥쪽으로 돌출되도록 형성되고, 상기 노즐을 수용하는 가스 공급실과,
    원통의 측부로부터 상기 가스 공급실과 대면하여 형성되는 배기 버퍼실
    을 갖고,
    상기 원통의 내부와 상기 가스 공급실은, 상기 원통의 측부에 형성된 관통 구멍에 의해 통하고 있으며,
    상기 원통의 내부와 상기 배기 버퍼실은, 상기 원통의 대향하는 측부에 형성된 관통 구멍에 의해 통하고 있으며,
    상기 노즐은 상기 가스 공급실 내에 배치되고, 상기 가스는, 상기 노즐의 상기 슬릿과 상기 가스 공급실의 상기 관통 구멍에 의해 2단계로 정류되어 상기 복수 매의 기판에 공급되는 기판 처리 장치.
  4. 제3항에 있어서,
    상기 유량 제어기의 제어에 의해 상기 가스가 공급되는 동안, 상기 노즐의 내압은, 상기 노즐 내에서 가스가 분해되는 압력보다도 낮은 압력인 기판 처리 장치.
  5. 제1항에 있어서,
    상기 슬릿의 폭은, 0.5㎜ 이상 3㎜ 이하 혹은 상기 노즐의 내경의 0.02배 이상 0.2배 이하의 범위이며, 상기 노즐이 공급하는 가스 유량을 변화시켰을 때, 상기 복수의 기판의 면 간의 유속 분포의 형상이 변화되지 않도록 구성된 기판 처리 장치.
  6. 세로 방향으로 소정 간격으로 배열된 복수의 기판을, 소정의 온도 및 분위기 하에서 처리하는 반응관과,
    상기 반응관의 외주에 설치된 히터와,
    상기 반응관 내에 세로 방향으로 삽입 관통되어, 적어도 기판이 보유 지지되는 영역에 걸쳐 가스를 공급하는 개구를 갖는 노즐을 구비하고,
    상기 노즐은,
    접힘부와,
    상기 접힘부보다도 상류측인 상류부와,
    상기 접힘부보다도 하류측인 하류부와,
    상기 상류부보다도 더 상류측에 위치하는 기부를 갖고,
    상기 상류부와 상기 하류부는, 서로 평행하게 형성되고,
    상기 하류부의 선단은, 돔 형상으로 형성되고,
    상기 노즐의 개구는, 상기 하류부에 세로 방향으로 길게 형성된 슬릿이며, 0.5㎜ 이상 3㎜ 이하, 혹은 상기 노즐의 내경의 0.02 내지 0.2배의 범위의 폭을 갖고,
    상기 슬릿은 상기 하류부에 형성되는 기판 처리 장치.
  7. 제6항에 있어서,
    상기 노즐은,
    상기 기부와 상기 상류부를 접속하는 경사부를 갖고,
    상기 기부의 중심선은, 상기 상류부의 중심선과 상기 하류부의 중심선의 사이에 위치하는 기판 처리 장치.
  8. 제6항에 있어서,
    상기 노즐은, 상기 슬릿의 상단 및 하단의 사이에서, 상기 노즐 내에서 분해된 상기 가스의 분압의 편차가 10% 이하로 되도록, 상기 가스를 공급하는 기판 처리 장치.
  9. 제8항에 있어서,
    상기 슬릿은 복수로 분할하여 형성되고,
    상기 슬릿의 상단은, 복수 매의 상기 기판의 최상단의 기판의 위치보다도 낮은 위치에 형성되고,
    상기 슬릿의 하단은, 복수 매의 상기 기판의 최하단의 기판의 위치보다도 낮은 위치에 형성되는 기판 처리 장치.
  10. 제7항에 있어서,
    상기 반응관에 인접하여 형성되고, 상기 노즐이 배치되는 가스 공급실과,
    상기 가스 공급실에 설치되고, 복수의 가스 공급 구멍을 갖는 다공 노즐을 더 갖고,
    상기 노즐은, 상기 기판의 중심과 상기 다공 노즐의 상기 가스 공급 구멍을 연결하는 선을 반경으로 하는 가상 원 상에 상기 슬릿이 위치하도록 상기 가스 공급실 내에 비스듬히 설치되는 기판 처리 장치.
  11. 제8항에 있어서,
    상기 반응관에 인접하여 형성되고, 상기 노즐이 배치되는 가스 공급실을 더 갖고,
    상기 노즐은, 상류부 쪽이 하류부보다도 상기 히터에 가깝고, 하류부 쪽이 상류부보다도 상기 기판에 가까워지도록, 상기 가스 공급실 내에 설치되는 기판 처리 장치.
  12. 복수 매의 기판을 처리실 내에서 처리하는 기판 처리 장치 내에 설치되어, 상기 처리실 내에 가스를 공급하는 노즐로서,
    상기 노즐은,
    접힘부와,
    상기 접힘부보다도 상류측인 상류부와,
    상기 접힘부보다도 하류측인 하류부와,
    상기 상류부보다도 더 상류측에 위치하는 기부와,
    상기 기부와 상기 상류부를 접속하는 경사부를 갖고,
    상기 상류부와 상기 하류부는, 서로 평행하게 형성되고,
    상기 하류부의 선단은, 돔 형상으로 형성되고,
    상기 하류부는, 측면에 있어서 세로 방향으로 개구된 슬릿을 갖고, 상기 슬릿의 폭은 0.5㎜ 이상 3㎜ 이하, 혹은 상기 노즐의 내경의 0.02 내지 0.2배의 범위이며,
    상기 기부의 중심선은, 상기 상류부의 중심선과 상기 하류부의 중심선의 사이에 위치하는 노즐.
  13. 제12항에 있어서,
    상기 상류부에는, 상기 하류부의 상기 슬릿의 폭보다도 좁은 폭을 갖는 슬릿, 또는 다공이 형성되는 노즐.
  14. 보트에 의해 세로 방향으로 소정 간격으로 보유 지지된 복수 매의 기판을, 처리실 내에 반입하는 공정과,
    상기 처리실 내에 세로 방향으로 삽입 관통되어, 상기 보트에 기판이 보유 지지되는 영역에 걸쳐 가스를 공급하는 개구를 갖는 노즐로부터, 소정의 온도로 가열된 상기 처리실 내에 가스를 공급하고, 상기 처리실 내에서 상기 기판을 처리하는 공정을 갖고,
    상기 처리하는 공정에서는, 접힘부와, 상기 접힘부보다도 상류측인 상류부와, 상기 접힘부보다도 하류측에서, 상기 상류부와 평행하게 형성되고 하류부를 갖는 노즐을 사용하여,
    상기 노즐의 상기 하류부에, 0.5㎜ 이상 3㎜ 이하, 혹은 상기 노즐의 내경의 0.02 내지 0.2배의 범위의 폭에서, 복수 매의 상기 기판의 최상단의 기판의 위치보다도 낮은 위치에 형성된, 세로 방향으로 긴 슬릿 형상의 상기 개구로부터, 상기 가스를 공급하는 반도체 장치의 제조 방법.
KR1020187011787A 2016-07-05 2016-07-05 기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법 KR102165711B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/069897 WO2018008088A1 (ja) 2016-07-05 2016-07-05 基板処理装置、ガスノズルおよび半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20180058808A KR20180058808A (ko) 2018-06-01
KR102165711B1 true KR102165711B1 (ko) 2020-10-14

Family

ID=60912665

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187011787A KR102165711B1 (ko) 2016-07-05 2016-07-05 기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법

Country Status (4)

Country Link
JP (1) JP6695975B2 (ko)
KR (1) KR102165711B1 (ko)
TW (1) TWI648806B (ko)
WO (1) WO2018008088A1 (ko)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP6856576B2 (ja) 2018-05-25 2021-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7365973B2 (ja) 2020-06-19 2023-10-20 東京エレクトロン株式会社 ガスノズル、基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024049208A (ja) 2022-09-28 2024-04-09 株式会社Kokusai Electric ガス供給体、処理装置及び半導体装置の製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006319201A (ja) * 2005-05-13 2006-11-24 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069723A (ja) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62263629A (ja) * 1986-05-12 1987-11-16 Hitachi Ltd 気相成長装置
JP2004006551A (ja) 2002-06-03 2004-01-08 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP2006093411A (ja) * 2004-09-24 2006-04-06 Hitachi Kokusai Electric Inc 基板処理装置
JP5117856B2 (ja) * 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
JP2009295729A (ja) * 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2011142347A (ja) * 2011-04-08 2011-07-21 Hitachi Kokusai Electric Inc 基板処理装置
JPWO2013054652A1 (ja) * 2011-10-11 2015-03-30 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法、および記録媒体
JP5887962B2 (ja) * 2012-01-31 2016-03-16 東京エレクトロン株式会社 成膜装置
JP5958231B2 (ja) * 2012-09-24 2016-07-27 東京エレクトロン株式会社 縦型熱処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006319201A (ja) * 2005-05-13 2006-11-24 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069723A (ja) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法

Also Published As

Publication number Publication date
JPWO2018008088A1 (ja) 2019-01-31
WO2018008088A1 (ja) 2018-01-11
TW201804551A (zh) 2018-02-01
TWI648806B (zh) 2019-01-21
KR20180058808A (ko) 2018-06-01
JP6695975B2 (ja) 2020-05-20

Similar Documents

Publication Publication Date Title
KR102165711B1 (ko) 기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법
KR102207020B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US11155920B2 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
JP6616258B2 (ja) 基板処理装置、蓋部カバーおよび半導体装置の製造方法
TWI696722B (zh) 基板處理裝置、半導體裝置之製造方法及程式
KR102173376B1 (ko) 기판 처리 장치, 히터 및 반도체 장치의 제조 방법
US10388512B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6462161B2 (ja) 基板処理装置および半導体装置の製造方法
CN110863190B (zh) 基板处理装置以及半导体装置的制造方法
US10907253B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
CN111564388A (zh) 基板处理装置、半导体装置的制造方法以及存储介质
KR102643319B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR102308807B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
JP7055219B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JPWO2018163399A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant