JP6695975B2 - 基板処理装置、ガスノズルおよび半導体装置の製造方法 - Google Patents

基板処理装置、ガスノズルおよび半導体装置の製造方法 Download PDF

Info

Publication number
JP6695975B2
JP6695975B2 JP2018525862A JP2018525862A JP6695975B2 JP 6695975 B2 JP6695975 B2 JP 6695975B2 JP 2018525862 A JP2018525862 A JP 2018525862A JP 2018525862 A JP2018525862 A JP 2018525862A JP 6695975 B2 JP6695975 B2 JP 6695975B2
Authority
JP
Japan
Prior art keywords
nozzle
gas
slit
wafer
upstream
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018525862A
Other languages
English (en)
Other versions
JPWO2018008088A1 (ja
Inventor
周平 西堂
周平 西堂
隆史 佐々木
隆史 佐々木
花島 建夫
建夫 花島
吉田 秀成
秀成 吉田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2018008088A1 publication Critical patent/JPWO2018008088A1/ja
Application granted granted Critical
Publication of JP6695975B2 publication Critical patent/JP6695975B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、基板処理装置、ガスノズルおよび半導体装置の製造方法に関するものである。
半導体装置(デバイス)の製造工程における基板処理では、例えば、複数枚の基板を一括して処理する縦型基板処理装置が使用されている。縦型基板処理装置では多孔ノズルを用いて基板に対しガスを供給することがある(例えば、特許文献1)。
特開2004−6551号公報
しかしながら、多孔ノズルの形状とガスの種類によっては、多孔ノズル内でガスが過剰に分解してしまい、基板の面間均一性に悪影響を及ぼすことがある。本発明はこのような事情に鑑みてなされたものであり、その目的は、基板の面間均一性を向上させることが可能な技術を提供することにある。
本発明の一態様によれば、
複数枚の基板を処理する処理室と、
前記処理室内にガスを供給するノズルと、を備え、
前記ノズルは、
縦方向に開口したスリットを有し、
前記スリットは、前記ガスノズルの先端部の頂点まで形成されている技術が提供される。
本発明によれば、基板の面間均一性を向上させることが可能となる。
本発明の実施形態で好適に用いられる基板処理装置の一例を概略的に示す縦断面図である。 本発明の実施形態で好適に用いられる処理炉の一例を概略的に示す平面図である。 本発明の実施形態で好適に用いられるノズルの一例を概略的に示す斜視図である。 各ノズル形状におけるガス流量とノズル内圧のシミュレーション結果を示す図である。 各ノズル形状におけるウエハ中央のガス流速のシミュレーション結果を示す図である。 各ノズル形状におけるウエハ中央のガス流速のシミュレーション結果を示す図である。 (A)は本発明の一実施形態におけるノズルの変形例を、(B)は本発明の一実施形態における他のノズルの変形例を、(C)は本発明の一実施形態におけるノズルのさらに他の変形例を、(D)は本発明の一実施形態におけるノズルのさらに他の変形例をそれぞれ示す図である。 第2の実施形態で好適に用いられるノズルの一例を概略的に示す斜視図である。 第2の実施形態で好適に用いられる処理炉の一例を概略的に示す平面図である。 第2の実施形態で好適に用いられるノズルのSiラジカル濃度分布のシミュレーション結果を示す図である。 第2の実施形態で好適に用いられるノズルのSiラジカル濃度分布のシミュレーション結果を示す図である。 (A)は本発明の第2の実施形態におけるノズルの変形例を、(B)は本発明の第2の実施形態における他のノズルの変形例をそれぞれ示す図である。
以下、図面を参照しながら、本発明の限定的でない例示の実施形態について説明する。全図面中、同一または対応する構成については、同一または対応する参照符号を付し、重複する説明を省略する。
本実施形態において、基板処理装置は、半導体装置(デバイス)の製造方法における製造工程の一工程として熱処理等の基板処理工程を実施する縦型基板処理装置(以下、処理装置と称する)2として構成されている。図1に示すように、処理装置2は、円筒形状の反応管10と、反応管10の外周に設置された加熱手段(加熱機構)としてのヒータ12とを備える。反応管は、例えば石英やSiCにより形成される。反応管10の内部には、基板としてのウエハWを処理する処理室14が形成される。
図2に示すように、反応管10には、外方に突出するようにガス供給室としての供給バッファ室10Aと排気バッファ室10Bが対面して形成されている。供給バッファ室10A内および排気バッファ室10B内は、隔壁10Cによって複数の空間に区画されている。供給バッファ室10A内の各区画には、後述するノズル44a、44bがそれぞれ設置される。供給バッファ室10Aおよび排気バッファ室10Bの内壁側(処理室14側)には、複数の横長形状のスリット10Dがそれぞれ形成されている。反応管10には、温度検出器としての温度検出部16が設置される。温度検出部16は、反応管10の外壁に沿って立設されている。
図1に示すように、反応管10の下端開口部には、円筒形のマニホールド18が、Oリング等のシール部材20を介して連結され、反応管10の下端を支持している。マニホールド18は、例えばステンレス等の金属により形成されている。マニホールド18の下端開口部は円盤状の蓋部22によって開閉される。蓋部22は、例えば金属により形成されている。蓋部22の上面にはOリング等のシール部材20が設置されており、これにより、反応管10内と外気とが気密にシールされている。蓋部22上には、中央に上下に亘って孔が形成された断熱部24が載置されている。断熱部24は、例えば石英により形成されている。
処理室14は、複数枚、例えば25〜150枚のウエハWを垂直に棚状に支持する基板保持具としてのボート26を内部に収納する。ボート26は、例えば石英やSiCより形成される。ボート26は、蓋部22および断熱部24を貫通する回転軸28により、断熱部24の上方に支持される。蓋部22の回転軸28が貫通する部分には、例えば、磁性流体シールが設けられ、回転軸28は蓋部22の下方に設置された回転機構30に接続される。これにより、回転軸28は反応管10の内部を気密にシールした状態で回転可能に構成される。蓋部22は昇降機構としてのボートエレベータ32により上下方向に駆動される。これにより、ボート26および蓋部22が一体的に昇降され、反応管10に対してボート26が搬入出される。
処理装置10は、基板処理に使用されるガスを処理室14内に供給するガス供給機構34を備えている。ガス供給機構34が供給するガスは、成膜される膜の種類に応じて換えられる。ここでは、ガス供給機構34は、原料ガス供給部、反応ガス供給部および不活性ガス供給部を含む。
原料ガス供給部は、ガス供給管36aを備え、ガス供給管36aには、上流方向から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)38aおよび開閉弁であるバルブ40aが設けられている。ガス供給管36aはマニホールド18の側壁を貫通するノズル44aに接続される。ノズル44aは、供給バッファ室10A内に上下方向に沿って立設し、ボート26に保持されるウエハWに向かって開口するガス供給口としての縦長形状のスリット45aが形成されている。ノズル44aのスリット45aを通して供給バッファ室10A内に原料ガスが拡散され、供給バッファ室10Aのスリット10Dを介してウエハWに対して原料ガスが供給される。ノズル44aの詳細については後述する。
以下、同様の構成にて、反応ガス供給部からは、供給管36b、MFC38b、バルブ40b、ノズル44bおよびスリット10Dを介して、反応ガスがウエハWに対して供給される。ノズル44bには、ボート26に保持されるウエハWに向かって開口する複数のガス供給孔45bが形成されている。不活性ガス供給部からは、供給管36c、36d、MFC38c、38d、バルブ40c、40d、ノズル44a、44bおよびスリット10Dを介して、ウエハWに対して不活性ガスが供給される。
反応管10には、排気バッファ室10Bに連通するように、排気管46が取り付けられている。排気管46には、処理室14内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ48および圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ50を介して、真空排気装置としての真空ポンプ52が接続されている。このような構成により、処理室14内の圧力を処理に応じた処理圧力とすることができる。
回転機構30、ボートエレベータ32、ガス供給機構34のMFC38a〜dおよびバルブ40a〜d、APCバルブ50には、これらを制御するコントローラ100が電気的に接続されている。コントローラ100は、例えば、CPUを備えたマイクロプロセッサ(コンピュータ)からなり、処理装置2の動作を制御するよう構成されている。コントローラ100には、例えばタッチパネル等として構成された入出力装置102が接続されている。
コントローラ100には記憶媒体としての記憶部104が接続されている。記憶部104には、処理装置10の動作を制御する制御プログラムや、処理条件に応じて処理装置2の各構成部に処理を実行させるためのプログラム(レシピとも言う)が、読み出し可能に格納される。
記憶部104は、コントローラ100に内蔵された記憶装置(ハードディスクやフラッシュメモリ)であってもよいし、可搬性の外部記録装置(磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)であってもよい。また、コンピュータへのプログラムの提供は、インターネットや専用回線等の通信手段を用いて行ってもよい。プログラムは、必要に応じて、入出力装置102からの指示等にて記憶部104から読み出され、読み出されたレシピに従った処理をコントローラ100が実行することで、処理装置2は、コントローラ100の制御のもと、所望の処理を実行する。
次に、上述の処理装置2を用い、基板上に膜を形成する処理(成膜処理)について説明する。ここでは、ウエハWに対して、原料ガスとしてHCDS(SiCl :ヘキサクロロジシラン)ガスと、反応ガスとしてNH(アンモニア)ガスとを供給することで、ウエハW上にシリコン窒化(SiN)膜を形成する例について説明する。なお、以下の説明において、処理装置2を構成する各部の動作はコントローラ100により制御される。
(ウエハチャージおよびボートロード)
複数枚のウエハWがボート26に装填(ウエハチャージ)されると、ボート26は、ボートエレベータ32によって処理室14内に搬入(ボートロード)され、反応管10の下部開口は蓋部22によって気密に閉塞(シール)された状態となる。
(圧力調整および温度調整)
処理室14内が所定の圧力(真空度)となるように、真空ポンプ52によって真空排気(減圧排気)される。処理室14内の圧力は、圧力センサ48で測定され、この測定された圧力情報に基づきAPCバルブ50がフィードバック制御される。また、処理室14内のウエハWが所定の温度となるように、ヒータ12によって加熱される。この際、処理室14が所定の温度分布となるように、温度検出部16が検出した温度情報に基づきヒータ12への通電具合がフィードバック制御される。また、回転機構30によるボート26およびウエハWの回転を開始する。
(成膜処理)
[原料ガス供給工程]
処理室14内の温度が予め設定された処理温度に安定すると、処理室14内のウエハWに対してHCDSガスを供給する。HCDSガスは、MFC38aにて所望の流量となるように制御され、ガス供給管36a、ノズル44aおよびスリット10Dを介して処理室14内に供給される。
[原料ガス排気工程]
次に、HCDSガスの供給を停止し、真空ポンプ52により処理室14内を真空排気する。この時、不活性ガス供給部から不活性ガスとしてNガスを処理室14内に供給しても良い(不活性ガスパージ)。
[反応ガス供給工程]
次に、処理室14内のウエハWに対してNHガスを供給する。NHガスは、MFC38bにて所望の流量となるように制御され、ガス供給管36b、ノズル44bおよびスリット10Dを介して処理室14内に供給される。
[反応ガス排気工程]
次に、NHガスの供給を停止し、真空ポンプ52により処理室14内を真空排気する。この時、不活性ガス供給部からNガスを処理室14内に供給しても良い(不活性ガスパージ)。
上述した4つの工程を行うサイクルを所定回数(1回以上)行うことにより、ウエハW上に、所定組成および所定膜厚のSiN膜を形成することができる。
(ボートアンロードおよびウエハディスチャージ)
所定膜厚の膜を形成した後、不活性ガス供給部からNガスが供給され、処理室14内の雰囲気がNガスに置換されると共に、処理室14の圧力が常圧に復帰される。その後、ボートエレベータ32により蓋部22が降下されて、ボート26が反応管10から搬出(ボートアンロード)される。その後、処理済ウエハWはボート26より取出される(ウエハディスチャージ)。
ウエハWにSiN膜を形成する際の処理条件としては、例えば、下記が例示される。
処理温度(ウエハ温度):300℃〜700℃、
処理圧力(処理室内圧力):1Pa〜4000Pa、
HCDSガス:100sccm〜10000sccm、
NHガス:100sccm〜10000sccm、
ガス:100sccm〜10000sccm、
それぞれの処理条件を、それぞれの範囲内の値に設定することで、成膜処理を適正に進行させることが可能となる。
次に、第1の実施形態におけるノズル44aの形状について説明する。
図3に示すように、ノズル44aは先端がドーム状に形成されたロングノズルであり、ノズル44aの側面(ウエハW側)には、ウエハ配列方向に沿ってガス供給口として細長形状のスリット45aが形成されている。スリット45aの長さは、好ましくは、ウエハWの配列長よりも長くするのが良い。例えば、ウエハWの配列長に、ウエハW間(ピッチ間)分の長さを上下に加えた長さが好ましい。すなわち、スリット45aの上端の位置がボート26に保持される最上段のウエハWの高さ位置より高くなるように、また、スリット45aの下端の位置がボート26に保持される最下段のウエハWの高さ位置より低くなるように形成することが好ましい。このような構成により、ウエハWの配列方向において、均等な量でガスを供給することができる。
スリットの幅は、好ましくは、0.5mm以上3mm以下(0.5mm〜3mm)であり、より好ましくは、1〜2mmである。言い換えれば、スリットの幅は、好ましくは、ノズル44aの内径の0.02倍以上0.2倍以下(0.02〜0.2倍)であり、より好ましくは、0.04〜0.13倍である。スリット幅が0.5mmより狭い場合(ノズル44aの内径の0.02倍未満の場合)、ノズル内圧が上昇してしまう。また、スリット幅が3mmより広い場合(ノズル44aの内径の0.2倍より大きい場合)、ウエハWの成膜均一性が悪化してしまう。よって、スリットの幅を0.5mm〜3mm(ノズル44aの内径の0.02〜0.2倍)とすることにより、ノズル内圧の過剰な上昇を抑制でき、成膜均一性を向上させることができる。また、スリットの幅を1〜2mm(ノズル44aの内径の0.04〜0.13倍)とすることにより、より成膜均一性を向上させることができる。
ノズル44aのスリット45aは、先端部(ドーム状の天井部)の頂点まで形成されている。このような構成により、ノズル44a内先端部のガス滞留を抑制することができる。また、ノズル44a内の残留ガスを効率的にパージすることができ、生産性を向上させることができる。また、供給バッファ室10A内の上部に向けてガスを供給することにより、供給バッファ室10Aの上部におけるガスの滞留を抑制することができる。さらに、供給バッファ室10A内において、上下方向でガス拡散を均一化することができる。
次に、多孔ノズルおよび先端開放ノズルと第1の実施形態におけるノズル(スリットノズル)との比較結果について説明する。ここでは、処理室温度を650℃、処理室圧力を5Paとし、HCDSガスを各ノズルより流したものとしてシミュレーションを行った。
まず、図4を用いて、ノズル内圧のシミュレーション結果について説明する。図4に示すように、スリットノズルは多孔ノズルよりもノズル内圧を大幅に下げることができる。また、多孔ノズルは、ガス流量を2倍にすると、ノズル内圧も約2倍となり、さらに、ノズル内圧は高い圧力のままになっている。これに対し、スリットノズルは、ガス流量を2倍にしても、ノズル内圧は低いままである。すなわち、スリットノズルにおいては、ガス流量を増加させても、ノズルの内圧をガスがノズル内で分解する所定の圧力よりも低い圧力に維持することができることが分かる。また、スリットノズルのスリット幅が広いほど、ノズル内圧を低くさせることができる。
次に、図5および図6を用いて、ウエハ中央部でのガス流速のシミュレーション結果について説明する。図5に示すように、多孔ノズルとスリットノズルとの間で、流速の面間均一性に大きな差はない。すなわち、スリットノズルにおいては、流速の面間均一性を確保しつつ、ノズル内圧を低減させることができる。
図6に示すように、先端開放ノズルでは、ガス流量を2倍とすると、面間の流速分布が大きく変化する。すなわち、ガス流量を増やすと、下部のウエハの流速はほとんど変化しない一方で、上部のウエハの流速が速くなる。先端開放ノズルでは、ガス流量を増やすことにより、ガスの噴き上げ高さが高くなるため、上部のウエハではガスが大量に流れ込み、ガス流速が速くなる。一方で、下部のウエハではガスの流れ込み量に変化がないため、ガスの流速はほとんど変わらない。これに対し、スリットノズルでは面間の流速分布の形状はほとんど変化せずに、全体的に流速が速くなっている。すなわち、スリットノズルとすることにより、面間の流速分布を確保したままガス流量を変化させることができる。
<本実施形態による効果>
本実施形態によれば、以下に示す1つ又は複数の効果が得られる。
(1)スリットを天井部の頂上まで形成することにより、ノズル内のガス滞留を抑制することができる。ガスの滞留部があると、その部分でガスの分解が進むことにより、ガスの濃度が面間で不均一になってしまうことがある。また、ガス滞留を抑制することにより、ノズル内に残った原料ガスを不活性ガスによってパージするための時間を短縮することができ、生産性を向上させることができる。
(2)ガス供給口をスリット形状とすることにより、ガス流量を増加させてもノズル内圧の上昇を抑制することができ、また、ガス流量を増加させることができるため、プロセスウインドウを広げることができ、成膜の品質を向上させることができる。また、ノズル内圧が上昇すると、ノズル内でガスが成膜してしまい、パーティクルの発生源となってしまうことがある。本発明のノズルによれば、ノズル内圧の上昇を抑制できるため、パーティクルの発生を抑制することができる。
(3)ガスを2段階で整流させることにより、面間の均一性を向上させることができる。ノズルから供給されたガスは、
ノズルスリットで整流されて均一に流れ、さらに供給バッファ室のスリットでもう一段階整流されることにより、上下方向に均一の濃度でウエハに供給することができる。
(変形例)
本実施形態におけるノズルは、上述の態様に限定されず、以下に示す変形例のような態様に変更することができる。
(変形例1)
図7(A)に示すように、スリット45aは先端部の頂点までではなく、頂点を超えて後ろ側(反対側)まで形成しても良い。このような構成により、ガスが滞留しやすいバッファ室10Aの上部の角部へもガスを直接供給できるため、角部におけるガスの滞留を抑制することができ、膜の品質を向上させることができる。
(変形例2)
図7(B)に示すように、スリット45aの上方(例えば、スリット45a上部の1/3程度)の幅を下方の幅よりも大きく形成しても良い。このような構成により、上部におけるガス流量を増加させることができ、面間均一性を向上させることができる。
(変形例3)
図7(C)に示すように、スリット45aの上端は開放されていても良い。このとき、スリット45aは上端まで形成されていなくても良い。このような構成により、上部におけるガス流量を増加させることができ、面間均一性を向上させることができる。また、ノズル内のガス滞留を抑制することができ、膜の特性を向上させることができる。
(変形例4)
図7(D)に示すように、スリットは複数に複数に分割されていても良い。このような構成により、ノズルの強度を向上させることができる。
次に、第2の実施形態のノズル44aについて説明する。ここで、スリット45aの形状は第1の実施形態と同様に構成される。
図8に示すように、ノズル44aは上方に立ち上がり、折り返し部70で下方に折り返す逆U字形状に形成されている。折り返し部70より下流側の下流部72には、ガス供給口としてのスリット45aが形成されている。このような構成により、折り返し部70より上流側の上流部74において、ガスをヒータ12より加熱させることができる。上流部74においてガスを効率的に加熱することができるため、所望の分解状態でガスをウエハWに供給することができる。例えば、ガスの分解状態は上下間でモル分率10%以下とすることができる。ガスの分解状態が上下間でモル分率10%よりも大きい場合、面間均一性に悪影響を及ぼしてしまう。
上流部74の下方には、ガス供給管と接続する基部78が形成される。また、基部78と上流部74とを接続するように傾斜部76が形成される。上流部74、下流部72および基部78は互いに平行に形成される。ノズル44aは、正面視において、基部78の中心線Cが上流部74の中心線Cと下流部72の中心線Cとの間に位置するように構成される。ここでは、例えば基部78の中心線Cが上流部74の内側の外壁に、上流部74の中心線Cが基部78の外側の外壁に位置するように構成されている。基部78の中心線Cが上流部74の中心線Cと下流部72の中心線Cとの中間に位置するように構成されていても良い。このような構成により、ノズル44aを安定して支持することができ、ノズル44a内のガス流れをスムーズにすることができる。
正面視において、第2の実施形態におけるノズル44aのスリット45aの形成位置は、第1の実施形態におけるノズルのスリットの形成位置よりも、水平方向にずれている。すなわち、第1の実施形態においては、第2の実施形態における基部78の中心線C上にスリットが形成されている。これに対し、第2の実施形態においては、スリット45aは下流部72の中心線C上に形成されている。下流部72は、ウエハ領域をカバーできる位置まで下方に延伸して形成されている。例えば、下流部72の先端部は、ボート26の下板と同じ高さ位置以下となるように形成されている。また、折り返し部70は、ボート26の上板と同じ高さ位置以上となるように形成される。このような構成により、スリット45aを、ウエハWの配列長よりも長く形成することができる。
図9に示すように、スリット45aがウエハWの中心を向くように、ノズル44aは供給バッファ室10A内で斜めに設置される。つまり、ノズル44aは、隣接するノズル44bの中心とウエハWの中心とを結ぶ線を半径rとした仮想円R上に、上流部74の中心と下流部72の中心とが位置するように設置される。好ましくは、平面視において、上流部74の中心と下流部72の中心とを結ぶ線Lと、基部78の中心とウエハWの中心を結ぶ線Lとのなす角(LからLへ反時計回りに向かう角)が0度〜90度となるように配置される。線Lと線Lとのなす角が0度よりも小さい場合、または、90度よりも大きい場合、供給バッファ室10Aの壁面に対して供給されるガスが多くなってしまい、ガスの流速や流量が抑制されてしまうことがある。よって、ノズル44aは、線Lと線Lとのなす角が0度〜90度となるように配置されることが好ましい。言い換えれば、上流部74の方が下流部72よりもヒータ12に近く、下流部72の方が上流部74よりもウエハWに近くなるように設置されても良い。より好ましくは、線Lと線Lとのなす角が直角となるように配置される。このような構成により、ガスをウエハW中央に向けて供給することができる。また、ウエハWと各ノズルのガス供給孔との距離を同一とすることができる。
スリット45aは、平面視において、線LよりもウエハW側の領域に形成されることが好ましい。言い換えれば、スリット45aは、平面視において、線Lを基準として、反時計回りに0度〜180度の範囲(半円上)に形成されることが好ましい。すなわち、正面視において下流部72の中心線C上ではなく、中心線Cよりも上流部74側(内側)または外側に片寄って形成されていても良い。このような構成により、上述のように、ノズル44aを、線Lと、線Lとのなす角が0度〜90度となるように設置した場合においても、ウエハW中央に向けてガスを供給することができる。
次に、第1の実施形態におけるノズル(スリットノズル)と第2の実施形態におけるノズル(U形スリットノズル)のシミュレーション結果について説明する。ここでは、HCDSガスを用いてシミュレーションを行った。
図10に示すように、U形スリットノズルを用いることで、Siラジカル濃度の面間均一性をさらに向上させることができる。特に、ウエハの中心部分において、上下間のHCDSガスの分解状態をより揃えることができる。
また、U形スリットノズルの先端部において、多少の原料ガスの分解がみられるが、原料ガスの分解箇所がウエハ下部に位置しているため、面間の均一性に対する影響を少なくすることができる。すなわち、図11に示すように、面間のSiラジカル濃度の分圧のばらつきをより平坦とすることができる。
一般に、多孔ノズル等の通常のノズル、すなわち、折り返し部と下流部を備えない上流部で構成されるノズル(ストレートノズル)内では、ノズル先端に行くほど、ノズル内におけるガスの滞留時間が長くなるため、ガスの分解が促進される。したがって、通常のノズルでは分解された成分は上部で多くなる。これに対し、U形スリットノズルでは、分解成分ガス濃度を通常のスリットノズルと上下逆転させることができ、分解成分ガス濃度をU形スリットノズルの下部で多くすることができる。すなわち、U形スリットノズルの下流部の下端(ノズルの先端)に近付くほどノズル内でのガス滞留時間が長くなるため、分解状態のガスを多く供給することができる。言い換えれば、U形スリットノズルはストレートノズルと比較して、ノズル内でのガス滞留時間を長くすることが可能となる。これにより、ウエハW中心部において、分解成分ガス濃度を面間で揃えることができ、面間均一性を向上させることができる。
第2の実施形態におけるノズルは、上述の態様に限定されず、以下に示す変形例のような態様に変更することができる。
(変形例5)
図12(A)に示すように、上流部74にもスリットを形成しても良い。例えば、下流部72のスリットの幅よりも上流部74のスリットの幅を狭くしても良い。また例えば、上流部74にスリットではなく多孔を形成しても良い。このような構成により、所望の分解状態でガスをウエハWに供給することができる。
(変形例6)
図12(B)に示すように、スリット45aの長さをウエハWの配列長よりも短い長さで形成しても良い。例えば、スリット45aの上端の位置がボート26に保持される最上段〜中段のウエハWの高さ位置になるように、また、スリット45aの下端の位置がボート26に保持される最下段のウエハWの高さ位置より低くなるように形成しても良い。言い換えれば、スリット45aの長さは、下段〜中段に保持されたウエハWの配列長をカバーする長さであっても良い。このような構成により、上段に保持されたウエハWへのガスの過剰供給を抑制することができ、面間均一性を向上させることができる。
以上、本発明の実施形態を具体的に説明した。しかしながら、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、上述の実施形態では、原料ガスとしてHCDSガスを用いる例について説明したが、本発明は、このような態様に限定されない。例えば、原料ガスの分解がウエハ面間の均一性に影響を与えるガスに本ノズルを用いることが望ましい。また例えば、原料ガスの分解温度とプロセス温度とが近い場合にも好適に用いられる。
また例えば、原料ガスとしては、HCDSガスの他、DCS(SiHCl:ジクロロシラン)ガス、MCS(SiHCl:モノクロロシラン)ガス、TCS(SiHCl:トリクロロシラン)ガス等の無機系ハロシラン原料ガスや、3DMAS(Si[N(CHH:トリスジメチルアミノシラン)ガス、BTBAS(SiH[NH(C)]:ビスターシャリブチルアミノシラン)ガス等のハロゲン基非含有のアミノ系(アミン系)シラン原料ガスや、MS(SiH:モノシラン)ガス、DS(Si:ジシラン)ガス等のハロゲン基非含有の無機系シラン原料ガスを用いることができる。
また例えば、本発明は、ウエハW上に、チタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、ニオブ(Nb)、アルミニウム(Al)、モリブデン(Mo)、タングステン(W)等の金属元素を含む膜、すなわち、金属系膜を形成する場合においても、好適に適用可能である。
また、上述の実施形態や変形例は、適宜組み合わせて用いることができる。
14 処理室
44a ノズル
45a スリット

Claims (14)

  1. ボートによって縦方向に所定間隔で多段に保持された複数枚のウェハを処理する処理室を形成する縦方向の筒部と、前記筒部の外方に突出するように形成され内部が隔壁によって複数の区画に分割された供給バッファ室と、を有する反応管と、
    前記供給バッファ室の前記複数の区画の1つに縦方向に挿通されて、前記ウェハが保持される領域に亘ってガスを供給する開口を有したノズルと、を備え、
    前記ノズルの開口は、縦方向に長く形成され前記ウェハの中心を向いて開口したスリットであり、
    前記筒部の内部と前記供給バッファ室は、前記供給バッファ室の内壁に設けられた横長形状のスリットによって通じており、
    前記ノズルは、処理室の処理温度と略同じ若しくはより低い温度で熱分解するガスを供給し、
    前記複数の区画の内の他の1つの区画には、先端部まで延びたスリットを有しない他のノズルが挿通され、前記他のノズルは、前記ウェハの処理温度では熱分解しないガスを供給する基板処理装置。
  2. 前記スリットは複数に分割して形成され、
    前記スリットの上端は、前記複数枚のウェハの内で最上段に保持されるウェハの位置よりも高い位置に形成され、
    前記スリットの下端は、前記複数枚のウェハの内で最下段に保持されるウェハの位置よりも低い位置に形成される請求項1に記載の基板処理装置。
  3. 前記反応管は、前記供給バッファ室部に対面して、前記筒部の外方に突出するように形成される排気バッファ室と、前記排気バッファ室に連通する排気管と、を有し、
    前記筒部の内部と前記排気バッファ室は、前記排気バッファ室の内壁に設けられた開口によって通じている請求項1に記載の基板処理装置。
  4. 前記ノズルに供給される前記ガスの流量を制御する流量制御器を更に備え、
    前記流量制御器の制御によって前記ガスが供給される間、前記ノズルの内の前記ガスの圧力を、前記ガスがノズル内で分解することを抑制するのに十分な程低く維持すると共に、前記ノズルに供給されるガス流量を変化させたときに、前記複数枚のウェハの面間の流速分布の形状が変化しないように、前記スリットの幅が設定された請求項3に記載の基板処理装置。
  5. 前記ノズルの先端は、ドーム状に形成され、前記スリットは、前記ドームの頂点まで連続して形成されており、前記ノズルはその先端から上部に向けてガスを供給する請求項1又は2に記載の基板処理装置。
  6. ボートによって縦方向に所定間隔で多段に保持された複数枚のウェハを処理する処理室を形成する縦方向の筒部と、前記筒部の外方に突出するように形成され内部が隔壁によって複数の区画に分割された供給バッファ室と、を有する反応管と、
    前記供給バッファ室内に縦方向に挿通されて、前記ウェハが保持される領域に亘ってガスを供給する開口を有したノズルと、を備え、
    前記ノズルは、
    折り返し部と、
    前記折り返し部よりも上流側である上流部と、
    前記折り返し部よりも下流側である下流部と、を有し、
    前記ノズルの開口は、下流部の側面において縦方向に長く開口したスリットであり、
    前記スリットは、流量制御器の制御によって前記ノズルが前記ガスを供給する間、前記ノズルの内の前記ガスの圧力を、前記ガスがノズル内で分解することを抑制するのに十分な程低く維持することが可能な幅を有し、前記スリットの上部よりも下部において、より分解の進んだ前記ガスを供給する基板処理装置。
  7. 前記ノズルは、
    前記上流部よりもさらに上流側に位置する基部と、
    前記基部と前記上流部とを接続する傾斜部と、を有し、
    前記下流部の先端は、ドーム状に形成され、
    前記基部の中心線は、前記上流部の中心線と前記下流部の中心線との間に位置する請求項6に記載の基板処理装置。
  8. 前記ノズルは、前記下流部の下端に近付くほど分解が進んだ前記ガスを、前記スリットの上端および下端の間で分圧の偏差が10%以下となるように供給する請求項7に記載の基板処理装置。
  9. 前記供給バッファ室は、内部が隔壁によって複数の区画に分割され、複数の区画の1つに前記ノズルが挿通され、他の1つの区画には、先端部まで延びたスリットを有しない他のノズルが挿通され、前記他のノズルは、前記ウェハの処理温度では熱分解しないガスを供給する請求項6に記載の基板処理装置。
  10. 前記供給バッファ室に設置され、複数のガス供給孔を有する多孔ノズルと、をさらに有し、
    前記ノズルは、前記ウェハの中心と前記多孔ノズルの前記ガス供給孔とを結ぶ線を半径とする仮想円上に前記スリットが位置するように前記供給バッファ室内に斜めに設置される請求項7に記載の基板処理装置。
  11. 前記反応管の外周に設置されたヒータを更に備え、
    前記ノズルは、上流部の方が下流部よりも前記ヒータに近く、下流部の方が上流部よりも前記ウェハに近くなるように、前記供給バッファ室内に設置され、複数枚の前記ウェハの中央に向けて前記ガスを供給する請求項7に記載の基板処理装置。
  12. 複数枚のウェハを処理室内で処理する基板処理装置内に設置され、前記処理室内にガスを供給するノズルであって、
    前記ノズルは、
    折り返し部と、
    前記折り返し部よりも上流側である上流部と、
    前記折り返し部よりも下流側である下流部と、を有し、
    前記上流部と前記下流部は、互いに平行に形成され、
    ノズルの開口は、前記下流部の側面において縦方向に長く形成されたスリットであり、0.5mm以上3mm以下、もしくは前記ノズルの内径の0.02〜0.2倍の範囲の幅を有し、流量制御器の制御によって前記ノズルが前記ガスを供給する間、前記ノズルの内の前記ガスの圧力を、前記ガスがノズル内で分解することを抑制するのに十分な程低く維持することが可能に構成され、
    前記スリットの上部よりも下部において、より分解の進んだ前記ガスが供給されるように形成されているノズル。
  13. 前記ノズルは、
    前記上流部よりもさらに上流側に位置する基部と、
    前記基部と前記上流部とを接続する傾斜部と、を有し、
    前記下流部の先端は、ドーム状に形成され、
    前記上流部には、前記下流部の前記スリットの幅よりも狭い幅を有するスリット又は多孔が形成され、
    前記スリットの上端は、前記ノズルが処理室内に設置された状態において、前記複数枚の前記ウェハの最上段のウェハの位置よりも低い位置となるように形成され、
    前記スリットの下端は、複数枚の前記ウェハの最上段のウェハの位置よりも低い位置に形成され、
    前記基部の中心線は、前記上流部の中心線と前記下流部の中心線との間に位置する請求項12に記載のノズル。
  14. ボートによって縦方向に所定間隔で保持された複数枚のウェハを、処理室内に搬入する工程と、
    前記処理室内に縦方向に挿通されて、ボートによってウェハが保持される領域に亘ってガスを供給する開口を有したノズルから、所定の温度に加熱された前記処理室内に、流量制御器によって制御された流量のガスを供給し、前記処理室内で前記ウェハを処理する工程と、を有し、
    前記処理する工程では、折り返し部と、前記折り返し部よりも上流側である上流部と、前記折り返し部よりも下流側で前記上流部と平行に形成される下流部と、を有するノズルを用いて、前記ノズルの前記下流部の側面に、前記ノズルからガスが供給される間前記ノズルの内の前記ガスの圧力を前記ガスがノズル内で分解することを抑制するのに十分な程低く維持することが可能な幅で、複数枚の前記ウェハの最上段のウェハの位置よりも低い位置に形成された、縦方向に長いスリット状の前記開口から、前記ガスを供給し、前記スリットの上部よりも下部においてより分解の進んだ前記ガスを供給する半導体装置の製造方法。
JP2018525862A 2016-07-05 2016-07-05 基板処理装置、ガスノズルおよび半導体装置の製造方法 Active JP6695975B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/069897 WO2018008088A1 (ja) 2016-07-05 2016-07-05 基板処理装置、ガスノズルおよび半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPWO2018008088A1 JPWO2018008088A1 (ja) 2019-01-31
JP6695975B2 true JP6695975B2 (ja) 2020-05-20

Family

ID=60912665

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018525862A Active JP6695975B2 (ja) 2016-07-05 2016-07-05 基板処理装置、ガスノズルおよび半導体装置の製造方法

Country Status (4)

Country Link
JP (1) JP6695975B2 (ja)
KR (1) KR102165711B1 (ja)
TW (1) TWI648806B (ja)
WO (1) WO2018008088A1 (ja)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP6856576B2 (ja) 2018-05-25 2021-04-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7365973B2 (ja) * 2020-06-19 2023-10-20 東京エレクトロン株式会社 ガスノズル、基板処理装置及び基板処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024049208A (ja) 2022-09-28 2024-04-09 株式会社Kokusai Electric ガス供給体、処理装置及び半導体装置の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62263629A (ja) * 1986-05-12 1987-11-16 Hitachi Ltd 気相成長装置
JP2004006551A (ja) 2002-06-03 2004-01-08 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP2006093411A (ja) * 2004-09-24 2006-04-06 Hitachi Kokusai Electric Inc 基板処理装置
JP4498210B2 (ja) * 2005-05-13 2010-07-07 株式会社日立国際電気 基板処理装置およびicの製造方法
JP5117856B2 (ja) * 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
JP2009295729A (ja) * 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2012069723A (ja) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc 基板処理装置およびガスノズルならびに基板の処理方法
JP2011142347A (ja) * 2011-04-08 2011-07-21 Hitachi Kokusai Electric Inc 基板処理装置
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
JP5887962B2 (ja) * 2012-01-31 2016-03-16 東京エレクトロン株式会社 成膜装置
JP2013197329A (ja) * 2012-03-21 2013-09-30 Renesas Electronics Corp 半導体装置の製造装置および半導体装置の製造方法
JP5958231B2 (ja) * 2012-09-24 2016-07-27 東京エレクトロン株式会社 縦型熱処理装置

Also Published As

Publication number Publication date
TWI648806B (zh) 2019-01-21
KR20180058808A (ko) 2018-06-01
WO2018008088A1 (ja) 2018-01-11
KR102165711B1 (ko) 2020-10-14
TW201804551A (zh) 2018-02-01
JPWO2018008088A1 (ja) 2019-01-31

Similar Documents

Publication Publication Date Title
JP6695975B2 (ja) 基板処理装置、ガスノズルおよび半導体装置の製造方法
JP6616258B2 (ja) 基板処理装置、蓋部カバーおよび半導体装置の製造方法
US11155920B2 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
JP6703496B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US9177786B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
KR102048293B1 (ko) 기판 처리 장치, 히터 및 반도체 장치의 제조 방법
TWI696722B (zh) 基板處理裝置、半導體裝置之製造方法及程式
US10388512B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6462161B2 (ja) 基板処理装置および半導体装置の製造方法
US10907253B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
TW201940248A (zh) 基板處理裝置,半導體裝置的製造方法及程式
CN111564388A (zh) 基板处理装置、半导体装置的制造方法以及存储介质
KR20210015944A (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
US9972486B2 (en) Nitride film forming method and storage medium
JP2021129118A (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR102308807B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
JP7055219B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JPWO2019181539A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2018163399A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2019110340A (ja) 基板処理装置、半導体装置の製造方法および記録媒体

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180921

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200327

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200409

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200422

R150 Certificate of patent or registration of utility model

Ref document number: 6695975

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250