KR101553554B1 - 실리콘 질화물 전하 트랩 층을 갖는 비-휘발성 메모리 - Google Patents

실리콘 질화물 전하 트랩 층을 갖는 비-휘발성 메모리 Download PDF

Info

Publication number
KR101553554B1
KR101553554B1 KR1020117011380A KR20117011380A KR101553554B1 KR 101553554 B1 KR101553554 B1 KR 101553554B1 KR 1020117011380 A KR1020117011380 A KR 1020117011380A KR 20117011380 A KR20117011380 A KR 20117011380A KR 101553554 B1 KR101553554 B1 KR 101553554B1
Authority
KR
South Korea
Prior art keywords
silicon nitride
nitride layer
forming
flash memory
memory device
Prior art date
Application number
KR1020117011380A
Other languages
English (en)
Other versions
KR20110086090A (ko
Inventor
미하엘라 발시누
블라디미르 주브코브
리-쿤 시아
아티프 노리
레자 아르가바니
데렉 알. 위티
아미르 알-바야티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110086090A publication Critical patent/KR20110086090A/ko
Application granted granted Critical
Publication of KR101553554B1 publication Critical patent/KR101553554B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42332Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)

Abstract

플래시 메모리 소자 및 플래시 메모리 소자를 형성하는 방법들이 제공된다. 일 양상에서, 플래시 메모리 소자는 탄소, 붕소 또는 산소를 포함하는 도펀트를 갖는 도핑된 실리콘 질화물 층을 포함한다. 도핑된 실리콘 질화물 층은 층 내에 보다 많은 수 및 보다 높은 농도의 질소 및 실리콘 댕글링(dangling) 결합들을 생성하고 비-휘발성 메모리 소자의 단위 셀의 전하 보유 용량 및 전하 유지 시간에 있어서 증가를 제공한다.

Description

실리콘 질화물 전하 트랩 층을 갖는 비-휘발성 메모리{NON-VOLATILE MEMORY HAVING SILICON NITRIDE CHARGE TRAP LAYER}
본 발명은 비-휘발성 메모리에 관한 것이고, 보다 구체적으로는 실리콘 질화물 전하 트랩 층을 갖는 비-휘발성 메모리에 관한 것이다.
플래시 메모리와 같은 비-휘발성 메모리 소자들은 외부 전력 공급원으로부터의 전력 없이 저장된 전하를 유지할 수 있다. 플래시 메모리는 메모리 셀들의 어레이를 포함하고, 메모리 셀들 각각은 전하 또는 게이트 임계 전압에 대응하는 하나 이상의 정보 비트들을 저장하도록 구성된다. 예를 들어, 새로운 플래시 메모리 소자들은 셀 당 여러 비트들을 저장할 수 있고 셋 이상의 별개 레벨들을 나타낼 수 있는 파라미터들을 이용할 수 있다. 플래시 메모리 소자들은 전하를 저장하기 위한 그들의 구조에 따라 전형적으로 2개의 유형들로 이루어진다. 플로팅 게이트 유형 플래시 메모리는 제어 게이트 전극 아래 배치된 플로팅 게이트 전극에 전하를 저장한다. 그러나 도전성 플로팅 게이트 전극들은 데이터 유지를 위해 전기적으로 격리될 필요가 있고 게이트 구조들은 제조하기에 곤란할 수 있는 높은 종횡비를 갖는다. 또한 이러한 플래시 메모리 소자들의 밀도가 높아지고, 인접하는 메모리 셀들 간의 공간이 줄어들어, 결과적으로 전하 유지 시간을 감소시키는 인접한 플로팅 게이트 셀들 간의 전기적 커플링을 유발한다.
전하 트랩 유형 플래시 메모리는 플로팅 게이트 메모리 소자들보다 더 적은 수의 덜 복잡한 단계들로 제조될 수 있다. 전하 트랩 메모리들은 실리콘 질화물(silicon nitride)과 같은 물질로 형성된 게이트 절연 층을 이용하고, 이는 전하 트랩 사이트(site)들을 제공한다. 그러나 이러한 소자들이 더 작아짐에 따라, 개별 전하 트랩 셀을 생성하기 위해 기판 상에서 이용가능한 순(net) 영역이 감소한다. 따라서, 전하 트랩 층 상으로 로딩될 수 있는 전자들의 수 또한 감소한다. 이러한 전하 트랩 셀 용량의 감소는 각 단위 셀에 의해 저장될 수 있는 정보의 양을 감소시킨다.
이러한 단점들 및 다른 단점들을 포함하는 다양한 이유들에 기인하여, 그리고 다양한 플래시 메모리 소자들의 개발에도 불구하고, 메모리 소자들 및 전하 트랩 소자들에 있어서 추가적인 개선들이 계속하여 추구되고 있다.
플래시 메모리 소자는 기판 상에 실리콘 이산화물 층(silicon dioxide layer)을 형성함으로써 제조된다. 도핑된 실리콘 질화물 층이 상기 실리콘 이산화물 층 상에 형성되고, 상기 도핑된 실리콘 질화물 층은 탄소, 붕소 또는 산소를 포함하는 도펀트를 포함한다. 유전체 물질이 상기 도핑된 실리콘 질화물 층 상에 증착되고 도전성 게이트가 상기 유전체 물질 상에 증착된다.
플래시 메모리 소자는 실리콘(silicon)을 포함하는 기판, 상기 기판 상의 실리콘 이산화물 층, 및 상기 실리콘 이산화물 층 상의 도핑된 실리콘 질화물 층을 포함한다. 상기 도핑된 실리콘 질화물 층은 탄소, 붕소 또는 산소를 포함하는 도펀트를 포함한다. 유전체 물질이 상기 도핑된 실리콘 질화물 층 상에 형성되고 도전성 게이트가 상기 유전체 물질 상에 형성된다.
다른 방법에서, 실리콘 이산화물 층이 기판 상에 형성되고, 실리콘 질화물 층이 상기 실리콘 이산화물 층 상에 형성된다. 상기 실리콘 질화물 층은 층의 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배(compositional gradient)를 포함한다. 유전체 물질이 상기 실리콘 질화물 층 상에 증착되고 도전성 게이트 층이 상기 유전체 물질 상에 증착된다.
다른 플래시 메모리 소자는 실리콘을 포함하는 기판, 상기 기판 상의 실리콘 이산화물 층, 및 상기 실리콘 이산화물 층 상의 실리콘 질화물 층을 포함한다. 상기 실리콘 질화물 층은 층의 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배를 포함한다. 유전체 물질이 상기 실리콘 질화물 층 상에 있고 도전성 게이트가 상기 유전체 물질 상에 있다.
또 다른 방법은 기판 상에 실리콘 이산화물 층을 형성하는 단계 및 상기 실리콘 이산화물 층 상에 실리콘 질화물 층을 형성하는 단계를 포함한다. 상기 실리콘 질화물 층은 약 150 nm 내지 약 1200 nm의 파장을 갖는 자외선 복사에 노출된다. 유전체 물질이 상기 실리콘 질화물 층 상에 증착되고 도전성 게이트가 상기 유전체 물질 상에 증착된다.
또 다른 방법에서, 실리콘 이산화물 층이 기판 상에 형성되고 실리콘 질화물 층이 상기 실리콘 이산화물 층 상에 형성된다. 상기 실리콘 질화물 층은 전자 빔에 노출된다. 유전체 물질이 상기 실리콘 질화물 층 상에 증착되고 도전성 게이트가 상기 유전체 물질 상에 증착된다.
또 다른 방법에서, 실리콘 이산화물 층이 기판 상에 형성된다. (1) 공정 구역(process zone)에 상기 기판을 배치하고; (2) (i) 상기 공정 구역 내로 제 1 공정 가스를 유입시키고 상기 공정 구역에서 상기 제 1 공정 가스의 플라즈마를 생성함으로써 상기 기판 상에 실리콘 질화물 층을 증착하고 ― 상기 제 1 공정 가스는 실리콘-함유 성분(component) 및 질소-함유 성분을 포함함 ―; 그리고 (3) (i) 상기 공정 구역 내로 제 2 공정 가스를 제공하기 위해 상기 제 1 공정 가스의 유동(flow)을 중단시키거나 변화시키고 ― 상기 제 2 공정 가스는 비활성 또는 비-반응성 가스를 포함함 ―, (ii) 상기 증착된 실리콘 질화물 층을 처리하기 위해 상기 공정 구역에서 상기 제 2 공정 가스의 플라즈마를 생성하는 것에 의해 플라즈마-처리 실리콘 질화물 층을 형성함으로써, 플라즈마-처리(plasma-treated) 실리콘 질화물 층이 상기 실리콘 이산화물 층 상에 형성되고, 상기 실리콘 질화물 층 상에 유전체 물질을 증착하고, 상기 유전체 물질 상에 도전성 게이트를 증착한다.
본 발명의 이러한 특징들, 양상들 및 장점들은 다음의 설명, 첨부된 청구범위, 및 본 발명의 예들을 도시하는 첨부된 도면들을 참조하여 보다 잘 이해될 것이다. 그러나 이러한 특징들 각각은 단지 특정 도면들의 맥락에서만이 아니라, 본 발명에서 일반적으로 이용될 수 있고, 본 발명은 이러한 특징들의 임의의 조합을 포함함이 이해되어야 하며, 여기에서:
도 1은 처리된 실리콘 질화물 피처를 갖는 플래시 메모리 소자를 도시하는 기판의 개략적 단면도이고;
도 2는 PE-CVD 증착 챔버인 기판 처리 챔버의 실시예의 개략도이며;
도 3은 적합한 에너지 빔 소스에 실리콘 질화물 물질을 노출시키기에 적합한 노출 챔버의 개략도이고;
도 4a는 바닥 상태 및 여기된 상태 결합(bond)들에 대해 원자간 거리의 함수로써 결합 에너지를 도시하는 모델이며; 그리고
도 4b는 여기된 상태 및 바닥 상태 결합들 양자 모두에 대해 (H3Si)N-H의 N-H 결합을 스트레치(stretch)하기 위해 필요한 에너지를 도시하는 모델이다.
일 실시예에서, 플래시 메모리 소자(20)는 실리콘 웨이퍼와 같은 반도체, 화합물 반도체, 또는 유전체를 포함하는 기판(22) 상에 제조된다. 실리콘 웨이퍼는 실리콘, 게르마늄, 또는 실리콘 게르마늄의 단일 또는 큰 결정들을 포함한다. 예시적인 화합물 반도체는 갈륨 비화물(gallium arsenide)을 포함한다. 적합한 유전체는 유리 패널 또는 디스플레이를 포함하고, 다른 물질들 중에서도, 예를 들어 BPSG(보로포스포실리케이트 글래스), PSG(포스포실리케이트 글래스), 보로실리케이트 글래스, 및 포스포실리케이트 글래스를 포함할 수 있다.
실리콘 이산화물 층(24)은 터널링 산화 막의 역할을 하도록 기판(22) 상에 형성된다. 이러한 터널링 산화 막은 반도체 기판(22)에 형성된 불순물 영역들로 이루어진 소스/드레인들(32, 34)에 접촉할 수 있다. 실리콘 이산화물 층(24)은 TEOS(테트라에틸오쏘실란), 실란, 산소, 및 다른 이러한 가스들과 같은 실리콘-함유 화합물 및 산소-함유 화합물을 포함하는 공정 가스들을 이용하여 CVD 또는 PECVD를 포함하는 적합한 방법들에 의해 형성될 수 있다. 실리콘 이산화물 층(24)은 또한 실리콘 웨이퍼의 표면을 산화시킴으로써 형성될 수 있다. 전형적으로 실리콘 이산화물 층(24)은 약 1 내지 약 6 nm의 두께를 갖는다.
실리콘 질화물 층(26)은 실리콘 이산화물 층(24) 상에 형성된다. 실리콘 질화물 층(26)은 플래시 메모리 소자(20)에 대한 전하 트랩핑 층으로서 이용된다. 전하 트랩핑 또는 저장 층은 터널링 산화 막을 관통하여 통과하는 전하를 저장하는 트랩 사이트들을 포함한다. 실리콘 질화물 층(26)의 증착 이전, 증착 동안, 또는 증착 이후에, 실리콘 질화물 층은 본원에서 기술되는 것처럼 층의 전하 유지 능력을 증가시키도록 처리된다.
실리콘 질화물 이외의 유전체 물질(28)이 실리콘 질화물 층(26) 상에 증착된다. 유전체 물질(28)은 전하 트랩 층에 트랩핑된 전자들이 게이트 전극(30)으로 누출(leakage)되는 것을 줄이는 전하 차단 층의 역할을 한다. 차단 층은 또한 다른 방향으로부터의, 즉 게이트 전극(30)으로부터 전하 트랩핑 층으로의 전하 통과를 방지 또는 저지할 수 있다. 유전체 물질(28)은 예를 들어,
Figure 112012107026250-pct00001
Figure 112012107026250-pct00002
, 및 이들의 조합물들과 같은 하이(high)-k 유전체일 수 있다. 하나의 적합한 하이-k 유전체 물질은 알루미늄 산화물을 포함하고, 알루미늄 산화물은 약 9-11의 유전 상수(k) 값을 가진다. 유전체 물질(28)은 물리 기상 증착(PVD), 원자 층 증착(ALD) 또는 화학 기상 증착(CVD)에 의해 증착될 수 있다.
게이트 전극(30)은 유전체 물질(28) 상에 형성된다. 게이트 전극(30)은 단위 셀에 대해 적절한 일 함수를 갖는 도전성 물질로 형성된다. 예를 들어 게이트 전극(30)은 예를 들어 Mo, Ta, Ti, W, HfN, NiTa, Mo2N, TaN, TiN, WN, 및 WSi 및 이들의 조합물들과 같은 원소 금속, 금속 합금, 또는 금속 화합물로 제조되는 금속 게이트일 수 있다. 게이트 전극(30)은 또한 질소 또는 실리콘과 결합된 금속을 포함하는 금속 화합물일 수 있다. 게이트 전극(30)은 나아가 예를 들어 폴리결정질 실리콘과 같이 적절한 일 함수를 갖는 비-금속 도전체일 수 있다. 기존 PVD, ALD 및 CVD 방법들이 게이트 전극(30)을 증착하는데 이용될 수 있다.
게이트 전극(30), 최상부 유전체 층(28), 실리콘 질화물 층(26) 및 실리콘 이산화물 층(24)은 도 1에 도시된 것처럼 적층된 구조를 형성하도록 패턴화된다. 이후 도핑된 영역들을 형성하도록 적층된 구조의 양쪽 측면들 상에서 노출된 반도체 기판(22)의 표면 내로 도펀트가 주입된다. 이러한 도핑된 영역들은 소스(32) 및 드레인(34)을 형성하도록 열 처리되고, 플래시 메모리 소자(20)의 단위 셀을 완성한다. 플래시 메모리 소자(20)에서, 실리콘 질화물 층(26)은 전하를 트랩핑 및 저장하는 전하-트랩의 역할을 한다. 실리콘 이산화물 층(24)을 통하여 터널링되는 전자들은 실리콘 질화물 층(26) 내에 트랩핑될 수 있다. 임계 전압은 전자들이 이미 전하 트랩 층 내에 트랩핑되는지 여부에 따라 변화한다.
설명에 의해 제한됨이 없이, 보다 양호한 전하 유지 특성들이 몇몇 상이한 방법들 및 이러한 방법들의 조합들 중 하나에 의해 실리콘 질화물 층(26)을 처리함으로써 획득될 수 있음이 발견되었다. 실리콘 질화물 층(26) 내의 전하 트랩 농도 및 분포는 그것의 조성 및 구조에 의존한다고 결정되었다. 특히 실리콘 질화물 층(26) 내의 실리콘 댕글링(dangling) 결합들 대 질소 댕글링 결합들의 농도 비율은 층의 전하 유지 특성들에 영향을 준다고 여겨진다. 또한 전하 유지 시간은 실리콘 댕글링 결합들의 농도를 증가시키거나 최대화함으로써 증가될 수 있다고 여겨진다. 실리콘 질화물 층(26) 내의 실리콘 댕글링 결합들의 수를 증가시키는 것은 캐리어 트랩 농도를 증가시키는 것처럼 보인다. 그러나 실리콘 댕글링 결합들은 에너지 밴드갭에서 매우 얕은(shallow) 트랩들을 생성한다. 얕은 트랩들은 충분히 깊지 않은 포텐셜 에너지 레벨들을 포함한다. 결과적으로 실리콘 댕글링 결합들의 높은 농도를 갖는 실리콘 질화물 층(26)은 전하 손실의 가능성을 높인다. 작은 양의 열 에너지라도 유지된 전하의 열적 디-트랩핑(de-trapping)을 유발할 수 있고, 결과적으로 전하 손실을 유발할 수 있다.
본원에서 기술된 실리콘 질화물 층(26)을 처리하는 방법들은 전하의 열적 또는 다른 디-트래핑의 가능성을 줄이도록 밴드-갭에서 보다 깊은 에너지 레벨들에 있는 댕글링 결합들의 농도를 증가시킨다고 여겨진다. 나아가 전하가 깊은 에너지 웰(energy well)들에 대응하는 특정 사이트들에 포획(entrap)되는 경우, 전하는 에너지 웰 내에 격리된 채로 남아 있으려는 경향이 있고 외부 에너지의 인가로 트랩 사이트 밖으로 쉽게 이동 또는 확산(diffuse)되지 않음에 따라 전하 유지가 실질적으로 증가된다. 따라서 실리콘 질화물 층(26)에 생성되는 전하 트랩 사이트들의 수 및 포텐셜 에너지 웰들의 깊이들은 전하 유지를 상당히 개선시키고 증가시킬 수 있다.
보다 깊은 에너지 웰들을 갖는 이용가능한 전하 트랩 사이트들의 수를 증가시키는 한 가지 방법은 실리콘 질화물 층(26) 내의 댕글링 질소 결합들의 수를 증가시키는 것을 포함한다. 댕글링 질소 결합들은 일반적으로 실리콘 댕글링 결합들에 비해 더 깊은 포텐셜 웰을 가진다. 댕글링 질소 결합들의 수를 증가시키는 한 가지 방법은 실리콘 질화물 층(26)으로부터 수소 원자들을 제거하는 것이다. 부가적으로, 실리콘 질화물 층(26)에 도펀트 물질들을 부가하는 것은 또한 도펀트 사이트들 쪽으로 망(network) 내의 전자들의 재분포를 유발할 수 있고, 이는 댕글링 질소 결합들의 수를 더 증가시킨다.
그러나, 여전히 실리콘 댕글링 결합들의 상당한 수를 유지하는 것이 바람직하다. 이는 실리콘 댕글링 결합들이 구조 내에서 유도(induce)하기에 더 쉽고 또한 전자 유지 속도를 개선시키기 때문이다. 특히 실리콘 댕글링 결합들은 넓고 얕은 에너지 웰들을 가지기 때문에 실리콘 댕글링 결합의 존재에 의해 생성된 전하 트랩 사이트 내로 전하를 이송하는데 보다 적은 에너지가 필요하다. 결과적으로, 여전히 전하 유지 시간을 연장하면서 전하 유지 속도를 최적화하도록 질소 댕글링 결합들에 부가하여 실리콘 댕글링 결합들의 특정 비율을 갖는 것이 바람직하다. 본원에서 기술되는 실리콘 질화물 층(26)을 증착 및 처리하는 방법들은 실리콘 및 질소 댕글링 결합들의 최적 비율들을 바람직하게 도입하리라 여겨진다.
본 공정의 일 양상에서, 전하 트랩 유지 시간은 실리콘 질화물 층(26)의 증착 동안 처리 파라미터들을 제어함으로써 증가될 수 있다고 결정되었다. PECVD 공정에서, 실리콘 질화물은 실리콘-함유 성분 및 질소-함유 성분, 또는 실리콘 및 질소 양자 모두를 함유하는 단일 성분을 포함하는 공정 가스를 이용하여 증착된다. 실리콘-함유 성분은 예를 들어 실란, 디실란, 트리메틸실릴(TMS), 트리스(디메틸아미노)실란(TDMAS), 비스(3차-부틸아미노)실란(BTBAS), 디클로로실란(DCS), 및 이들의 조합물들일 수 있다. 적합한 실란 유량은 약 5 내지 약 200 sccm이다. 질소-함유 성분은 예를 들어 암모니아, 질소, 및 이들의 조합물들일 수 있다. 암모니아에 대한 적합한 유량은 약 10 내지 약 600 sccm이다. 달리 특정되지 않는다면, 이러한 공정들에서 전극 전력 레벨은 전형적으로 약 100 내지 약 400 와트로 유지된다; 전극 간격은 약 5 mm(200 mils) 내지 약 12 mm(600 mils)이다; 공정 가스 압력은 약 1 Torr 내지 약 4 Torr이다; 그리고 기판 온도는 약 300 내지 약 600 ℃이다.
공정 가스는 희석제, 및 적어도 부분적으로 반응제 질소-함유 가스로서의 역할을 하기 위해 반응성 가스 성분들보다 큰 부피로 제공되는 희석 가스를 또한 포함할 수 있다. 예를 들어, 질소가 약 5,000 내지 약 30,000 sccm의 유량으로 추가될 수 있다. 공정 가스는 또한 실리콘 산(oxy)-질화물 물질들을 증착할 때 산소-함유 가스, 예를 들어 산소와 같은 추가적인 가스들을 함유할 수 있다. 포함될 수 있는 또 다른 가스들은 약 100 내지 약 5,000 sccm의 유량의, 예를 들어 헬륨 또는 아르곤과 같은 비활성 가스들일 수 있다.
일 양상에서, 층의 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배(compositional gradient)를 갖는 실리콘 질화물 층(26)을 형성하도록 증착 공정 동안 증착 조건들이 변경된다. 공정 조건들의 조정은, 균일한 실리콘 질화물 층에 비해 실리콘 질화물 층의 전하 유지 시간을 증가시키기에 충분히 높은 양만큼 상기 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배를 포함하는 실리콘 질화물 층을 제공하도록 선택된다.
이러한 공정의 일 양상에서, 실리콘 대 질소의 제 1 비율을 갖는 실리콘 질화물이 기판 상에 증착된다. 이러한 공정에서, 공정 가스는 공정 구역 내로 유입되고, 이러한 공정 가스는 본원에서 기술된 바와 같은 실리콘-함유 성분 대 질소-함유 성분을 포함한다. 공정 구역에서 공정 가스의 플라즈마는 공정 구역 주변의 전극들에 제 1 전력 레벨의 에너지를 인가함으로써 생성된다. 이후 실리콘 대 질소의 제 2 비율을 갖는 실리콘 질화물은 제 2 전력 레벨로 전극들에 인가된 에너지를 변경시킴으로써 증착된다. 일 양상에서, 제 1 전력 레벨은 제 2 전력 레벨보다 적어도 약 200 W 더 높다. 예를 들어, 제 1 전력 레벨은 약 3000 와트 미만을 포함할 수 있고, 제 2 전력 레벨은 적어도 약 10 와트를 포함한다.
이러한 공정의 다른 양상에서, 실리콘 대 질소의 제 1 비율을 갖는 실리콘 질화물은 공정 구역에서 공정 가스의 플라즈마를 생성하는 동안 공정 구역 내로의 공정 가스의 제 1 압력을 유지함으로써 기판 상에 증착된다. 이후 실리콘 대 질소의 제 2 비율을 갖는 실리콘 질화물은 공정 구역에서 공정 가스의 제 2 압력을 유지함으로써 이루어진다. 예를 들어, 제 1 압력은 제 2 압력보다 적어도 약 0.1 Torr만큼 더 높을 수 있다. 일 양상에서, 제 1 압력은 약 20 Torr 미만이고, 제 2 압력은 적어도 약 1 Torr이다.
또 다른 양상에서, 실리콘 대 질소의 제 1 비율 및 제 2 비율을 갖는 실리콘 질화물은 공정 구역 내로 유입된 공정 가스의 조성을 변경함으로써 기판 상에 형성된다. 예를 들어, 실란(SiH4)을 포함하는 실리콘-함유 가스 성분, 암모니아(NH3)를 포함하는 질소-가스 성분, 및 질소(N2)를 포함하는 희석 가스 성분을 포함하는 공정 가스를 이용하여 실리콘 질화물이 증착될 수 있다. 처음에는, 실리콘-함유 성분 대 질소-함유 성분의 제 1 비율을 포함하는 제 1 공정 가스가 사용되고, 공정 가스의 플라즈마가 공정 구역에서 생성된다. 이후 실리콘-함유 성분 대 질소-함유 성분의 제 2 비율을 포함하는 제 2 공정 가스가 사용되고, 공정 가스의 플라즈마가 공정 구역에서 생성된다. 실리콘-함유 성분 대 질소-함유 성분의 제 1 비율은 약 100:1 미만이고, 실리콘-함유 성분 대 질소-함유 성분의 제 2 비율은 적어도 약 1이다. 예를 들어, 실란 대 암모니아의 비율은 약 1:1 내지 약 1:3 범위일 수 있다.
일례에서, NH3의 유량은 500 sccm으로 유지될 수 있는 한편 SiH4의 유량은 25 sccm 내지 50 sccm으로 변화되었다; 20,000 sccm의 N2의 유량; 6 Torr의 압력; 30 와트의 전력 레벨; 430 ℃의 온도, 및 12 mm(480 mils)의 공정 전극 간격으로 공정 조건들은 일정하게 유지된다.
또 다른 예에서, 25 또는 50 sccm의 SiH4의 일정한 유량을 가진 상태로 NH3의 유량은 50 sccm 내지 500 sccm으로 변화될 수 있다.
일반적으로, SiH4 유량 대 NH3 유량의 보다 낮은 비율은 질소-풍부(nitrogen-rich) 막을 제공한다. 따라서 SiH4 대 NH3 의 유량 비율이 1:10일 때 공정은 0.6의 Si/N 비율을 갖는 실리콘 질화물 물질을 증착할 것이다.
상기 양상들에서, 결과적인 플래시 메모리 소자(20)는 층의 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배를 갖는 실리콘 질화물 층(26)을 포함한다. 예를 들어, 실리콘 질화물 층(26)은 도핑되지 않은 실리콘 질화물 층에 비해 실리콘 질화물 층의 전하 유지 시간을 증가시키기에 충분히 높은 양만큼 상기 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배를 가질 수 있다. 일 양상에서, 실리콘 질화물 층은 적어도 약 1 %만큼 상기 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배를 포함한다. 실리콘 질화물 층은 또한 약 0.4 내지 약 1.5 만큼 상기 두께를 통해 실리콘 대 질소의 비율이 변화하는 조성 구배를 가질 수 있다. 다양한 실리콘 질화물 층의 조성은 또한 이하 기술되는 것처럼 탄소, 붕소 또는 산소를 포함하는 도펀트로 도핑될 수 있다.
상기 질화물 증착 공정들을 수행하는데 이용될 수 있는 기판 처리 챔버(40)의 실시예가 도 2에 도시된다. 그러나 당업자에게 명백한 것처럼, 챔버(40)는 예시적인 챔버를 도시하기 위해 제공되고, 다른 챔버들도 이용될 수 있다. 따라서 본 발명의 범위는 본원에서 기술된 예시적인 챔버로 제한되어서는 안 된다.
일반적으로, 챔버(40)는 실리콘 웨이퍼와 같은 기판(22)을 처리하기에 적합한 플라즈마 강화 화학 기상 증착(PE-CVD) 챔버이고, 적합한 챔버는 캘리포니아 산타클라라 소재의 Applied Materials의 Producer® SE 유형 챔버이다. 챔버(40)는 공정 구역(42)을 둘러싸는 천장(52), 측벽들(54), 및 바닥 벽(56)을 포함하는 인클로저 벽들(48)을 포함한다. 챔버(40)는 또한 공정 구역(42) 주위의 인클로저 벽들(48)의 적어도 일부를 라이닝(lining)하는 라이너(미도시)를 포함할 수 있다. 300 mm 실리콘 웨이퍼를 처리하기 위해, 챔버는 전형적으로 약 20,000 내지 약 30,000 ㎤, 보다 전형적으로 약 24,000 ㎤의 부피를 가진다.
공정 사이클 동안, 기판 지지부(58)는 하강되고 기판(22)은 로봇 암(robot arm)과 같은 기판 이송부(64)에 의해 인입 포트(62)를 통해 전달되고 지지부(58) 상에 배치된다. 기판 지지부(58)는 로딩 및 언로딩을 위한 하부 위치와 기판(22)의 처리를 위해 조정가능한 상부 위치 사이에서 이동될 수 있다. 기판 지지부(58)는 챔버(40) 내로 유입된 공정 가스로부터 플라즈마를 생성하기 위해 밀봉된 전극(44a)을 포함할 수 있다. 기판 지지부(58)는 전기적으로 저항성인 가열 엘리먼트(도시된 것처럼)일 수 있는 히터(68), 가열 램프(미도시), 또는 플라즈마 그 자체에 의해 가열될 수 있다. 기판 지지부(58)는 전형적으로 기판(22)을 수용하기 위한 수용 표면을 가진 세라믹 구조를 포함하고, 세라믹 구조는 챔버 환경으로부터 전극(44a) 및 히터(68)를 보호한다. 사용 시에, 무선 주파수(RF) 전압이 전극(44a)에 인가되고 직류(DC) 전압이 히터(68)에 인가된다. 기판 지지부(58) 내의 전극(44a)은 또한 지지부(58)에 기판(22)을 정전기적으로 클램핑하는데 이용될 수 있다. 기판 지지부(58)는 또한 지지부(58) 상의 기판(22)의 주변부를 적어도 부분적으로 둘러싸는 하나 이상의 링들(미도시)을 포함할 수 있다.
기판(22)이 지지부(58) 상으로 로딩된 후, 지지부(58)는 지지부와 가스 분배기 사이에 목적하는 간격 갭 거리 ds를 제공하도록 가스 분배기(72)에 더 가까운 처리 위치로 상승된다. 이러한 간격 거리는 약 2 mm 내지 약 12 mm일 수 있다. 가스 분배기(72)는 기판(22)에 걸쳐 균일하게 공정 가스를 분산시키도록 공정 구역(42) 위에 배치된다. 가스 분배기(72)는 공정 구역(42) 내로 가스 스트림들을 유입하기 전에 가스 스트림들을 혼합하지 않고 공정 구역(42)으로 제 1 공정 가스 및 제 2 공정 가스의 2개의 독립적인 스트림들을 별도로 전달할 수 있거나, 또는 공정 구역(42)에 사전혼합된 공정 가스를 제공하기 전에 공정 가스를 사전혼합할 수 있다. 가스 분배기(72)는 공정 가스의 관통 통과를 허용하는 홀들(76)을 가진 페이스플레이트(faceplate; 74)를 포함한다. 페이스플레이트(74)는 전형적으로 이에 전압 또는 포텐셜을 인가할 수 있도록 금속으로 제조되고, 이에 의해 챔버(40)에서 전극(44a)으로서의 역할을 한다. 적합한 페이스플레이트(74)는 양극산화 코팅을 가진 알루미늄으로 제조될 수 있다.
기판 처리 챔버(40)는 또한 가스 분배기(72)에 제 1 및 제 2 공정 가스를 전달하기 위한 제 1 및 제 2 가스 공급부들(80a, b)을 포함하고, 상기 가스 공급부들(80a, b)은 각각 가스 소스(82a, b), 하나 이상의 가스 도관들(84a, b), 및 하나 이상의 가스 밸브들(86a, b)을 포함한다. 예를 들어 일 양상에서 제 1 가스 공급부(80a)는 가스 소스(82a)로부터 가스 분배기(72)의 제 1 인입구(78a)로 제 1 공정 가스를 전달하기 위한 제 1 가스 도관(84a) 및 제 1 가스 밸브(86a)를 포함하고, 제 2 가스 공급부(80b)는 제2 가스 소스(82b)로부터 가스 분배기(72)의 제 2 인입구(78b)로 제 2 공정 가스를 전달하기 위한 제 2 가스 도관(84b) 및 제 2 가스 밸브(86b)를 포함한다.
공정 가스는 공정 가스로부터 플라즈마를 형성하도록 공정 가스에 전자기 에너지, 예를 들어 고 주파수 전압 에너지를 커플링(couple)함으로써 에너지 공급(energize)될 수 있다. 제 1 공정 가스에 에너지 공급하기 위해, (i) 제 1 전극(44a)(가스 분배기(72), 천장(52) 또는 챔버 측벽(54)일 수 있음)과 (ii) 지지부(58) 내의 전극(44b) 사이에 전압이 인가된다. 전극들(44a, 44b)의 쌍 간에 인가된 전압은 공정 구역(42) 내의 공정 가스에 에너지를 용량성 커플링 한다. 전형적으로, 전극들(44a, b)에 인가된 전압은 무선 주파수로 진동(oscillate)하는 교류 전압이다. 일반적으로, 무선 주파수들은 약 3kHz 내지 약 300 GHz의 범위를 커버한다. 본 애플리케이션의 목적을 위해, 낮은 무선 주파수들은 약 1 MHz 미만, 더 바람직하게는 약 100 kHz 내지 1 MHz의 주파수들, 예를 들어 약 300 kHz의 주파수이다. 또한 본 애플리케이션의 목적을 위해, 높은 무선 주파수들은 약 3 MHz 내지 약 60 MHz, 더 바람직하게는 약 13.56 MHz의 주파수이다. 선택된 무선 주파수 전압은 약 10 W 내지 약 1000 W의 전력 레벨로 제 1 전극(44a)에 인가되고, 제 2 전극(44b)은 전형적으로 접지된다. 그러나 이용되는 특정 무선 주파수 범위 및 인가된 전압의 전력 레벨은 증착될 물질의 유형에 의존한다.
챔버(40)는 또한, 챔버(40)로부터 소비된 공정 가스 및 부산물(byproduct)들을 제거하고 공정 구역(42)에서 공정 가스의 미리결정된 압력을 유지하기 위한 가스 배기부(90)를 포함한다. 일 양상에서, 가스 배기부(90)는 공정 구역(42)으로부터 소비된 공정 가스를 수용하는 펌핑 채널(92), 배기 포트(94), 스로틀 밸브(96) 및 챔버(40)에서 공정 가스의 압력을 제어하기 위한 하나 이상의 배기 펌프들(98)을 포함한다. 배기 펌프(98)는 터보-분자 펌프, 극저온 펌프, 러핑(roughing) 펌프, 및 둘 이상의 기능을 가지는 조합-기능 펌프들 중 하나 이상을 포함할 수 있다. 챔버(40)는 또한 챔버(40) 내로 정화 가스를 전달하기 위한, 챔버(40)의 바닥 벽(56)을 통한 인입 포트 또는 튜브(미도시)를 포함할 수 있다. 정화 가스는 전형적으로 인입 포트로부터 기판 지지부(58)를 지나 환형 펌핑 채널까지 위쪽으로 흐른다. 정화 가스는 기판 지지부(58)의 표면들 및 다른 챔버 컴포넌트들을 처리 동안 원치 않는 증착으로부터 보호하기 위해 이용된다. 정화 가스는 또한 바람직한 방식으로 공정 가스의 유동에 영향을 주기 위해 이용될 수 있다.
제어기(102)는 또한 챔버(40)의 동작 및 동작 파라미터들을 제어하기 위해 제공된다. 제어기(102)는 예를 들어 프로세서 및 메모리를 포함할 수 있다. 프로세서는 메모리에 저장된 컴퓨터 프로그램과 같은 챔버 제어 소프트웨어를 실행한다. 이러한 메모리는 하드 디스크 드라이브, 리드-온리 메모리, 플래시 메모리 또는 다른 유형의 메모리일 수 있다. 제어기(102)는 또한 플로피 디스크 드라이브 및 카드 랙과 같은 다른 컴포넌트들을 포함할 수 있다. 카드 랙은 싱글-보드 컴퓨터, 아날로그 및 디지털 입/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함할 수 있다. 챔버 제어 소프트웨어는 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 마이크로파 전력 레벨들, 고 주파수 전력 레벨들, 지지부 위치, 및 특정 공정의 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다.
챔버(40)는 또한 예를 들어 기판 지지부(58) 내의 제 1 전극(44a) 및 챔버 내의 제 2 전극(44b)과 같은 다양한 챔버 컴포넌트들에 전력을 전달하기 위한 전력 공급원(104)을 포함한다. 챔버 전극들(44a, b)에 전력을 전달하기 위해서, 전력 공급원(104)은 선택된 무선 주파수들 및 목적하는 선택가능한 전력 레벨들을 갖는 전압을 제공하는 무선 주파수 전압 소스를 포함한다. 전력 공급원(104)은 단일한 무선 주파수 전압 소스, 또는 높은 무선 주파수 및 낮은 무선 주파수 양자 모두를 제공하는 다수의 전압 소스들을 포함할 수 있다. 전력 공급원(104)은 또한 RF 정합 회로를 포함할 수 있다. 전력 공급원(104)은 기판 지지부(58) 내 전극에, 종종 정전 척에 정전하(electrostatic charge)을 제공하기 위한 정전기 충전 소스(charging source)를 더 포함할 수 있다. 히터(68)가 기판 지지부(58) 내에서 이용되는 경우, 전력 공급원(104)은 또한 히터(68)에 적절한 제어가능한 전압을 제공하는 히터 전력 소스를 포함한다. DC 바이어스가 기판 지지부(58) 또는 가스 분배기(72)에 인가되어야 하는 경우, 전력 공급원(104)은 또한 가스 분배기(72)의 페이스플레이트(74)의 도전성 금속부에 연결되는 DC 바이어스 전압 소스를 포함한다. 전력 공급원(104)은 또한 다른 챔버 컴포넌트들, 예를 들어 챔버의 모터들 및 로봇들에 대한 전력 소스를 포함할 수 있다.
기판 처리 챔버(40)는 또한 챔버(40) 내에서 컴포넌트 표면들 또는 기판 표면들과 같은 표면들의 온도를 감지하기 위한 열전대(thermocouple) 또는 간섭계(interferometer)와 같은 온도 센서(미도시)를 포함한다. 온도 센서는 예를 들어 기판 지지부(58) 내의 저항성 가열 엘리먼트를 제어함으로써 처리 챔버(40)의 온도를 제어하기 위해 온도 데이터를 이용할 수 있는 챔버 제어기(102)로 온도 센서의 데이터를 릴레이할 수 있다.
도펀트 물질
다른 양상에서, 실리콘 질화물 층(26)은 보다 양호한 전하 트랩 사이트들을 생성하는 물질로 도핑된다. 적합한 물질은 예를 들어 탄소, 붕소, 산소, 또는 이들의 혼합물들을 포함한다. 이러한 방법에서, 도핑된 실리콘 질화물 층은 실리콘 이산화물 층(24) 상에 형성된다. 도핑된 실리콘 질화물 층은 탄소, 붕소 또는 산소 중 임의의 것의 도펀트 레벨을 포함한다. 도펀트는 도핑되지 않은 실리콘 질화물 층에 비해 실리콘 질화물 층(26)의 전하 유지 시간을 증가시키기에 충분히 높은 비율로 제공된다. 일 양상에서 도펀트 레벨은, 실리콘 질화물 내의 도펀트의 비율이 도핑되지 않은 실리콘 질화물 층에 비해 실리콘 질화물 층(26)의 전하 유지 시간을 적어도 약 5 %만큼 증가시키기에 충분히 높도록 하는 레벨이다. 예를 들어, 도펀트의 적합한 레벨은 실리콘 질화물 물질에 대해 약 1 % 내지 약 50 %의 비율을 포함한다. 이러한 도펀트는 증착 화학성분(chemistry)에 도펀트-함유 전구체를 부가함으로써 증착 동안 막에 유입된다. 예를 들어 5 % B 도핑을 달성하기 위해서, 100 sccm B2H6가 SiH4(40 sccm) 및 NH3(1000 sccm)의 플라즈마에 부가된다.
몇몇 방법들이 탄소, 붕소 또는 산소를 포함하는 도펀트로 실리콘 질화물 층(26)을 도핑하는데 이용될 수 있다. 하나의 방법에서, 실리콘 질화물 층(26)은 기존 방법들을 이용하여 증착된다. 예를 들어, 실리콘 질화물 층(26)은 위에서 설명된 것처럼 SiH4, NH3 및 N2를 포함하는 공정 가스를 이용하여 증착될 수 있다. 실리콘 질화물 층(26)의 증착 동안 또는 증착 이후, 상기 층은 탄소-함유 종들, 붕소-함유 종들 또는 산소-함유 종들 중 적어도 하나를 포함하는 도펀트 공정 가스에 노출된다. 도펀트 공정 가스는 탄소, 붕소 또는 산소를 포함하는 도펀트로 상기 증착된 실리콘 질화물 층(26)을 도핑하기 위해 플라즈마를 형성하도록 에너지 공급된다. 도펀트 공정 가스의 적합한 예들은 TMS, C2H4와 같은 탄소-함유 종들, 디보란과 같은 붕소-함유 종들, 및/또는 N2O와 같은 산소-함유 종들, 또는 이들의 혼합물들을 포함한다. 도펀트 공정 가스는 100 내지 1200 mils의 거리로 유지되는 전극들에 의해 전력공급(power)되는 플라즈마를 이용하여 에너지 공급된다.
다른 방법에서, 실리콘 질화물 층(26)은 증착되고, 그 후 탄소, 붕소 또는 산소 중 적어도 하나를 포함하는 공정 가스에 노출되는 한편, 탄소, 붕소 또는 산소를 포함하는 도펀트로 상기 증착된 실리콘 질화물 층(26)을 도핑하도록 기판이 가열된다. 도펀트 공정 가스의 적합한 예들은 CH4, TMS와 같은 탄소-함유 종들, B2H6와 같은 붕소-함유 종들, 및/또는 N2O와 같은 산소-함유 종들, 또는 이들의 혼합물들을 포함한다. 도핑 공정 동안, 기판은 적어도 약 50 ℃, 또는 심지어 약 300 ℃ 내지 약 550 ℃의 온도로 가열된다.
위에서 기술되고 도 2에 도시된 챔버는 또한 기술된 것처럼 도핑된 실리콘 질화물 층을 형성하기 위해 실리콘 질화물 층(26)을 처리하는데 이용될 수 있다. 결과적인 플래시 메모리 소자(20)는 실리콘을 포함하는 기판, 상기 기판 상의 실리콘 이산화물 층(24), 및 상기 실리콘 이산화물 층 상의 도핑된 실리콘 질화물 층(26)을 포함하고, 상기 도핑된 실리콘 질화물 층은 탄소, 붕소 또는 산소를 포함하는 도펀트를 포함한다.
자외선 복사 노출
플래시 메모리 소자를 형성하는 다른 방법에서, 실리콘 질화물 층(26)의 증착 동안 또는 증착 이후, 상기 층은 자외선 복사 또는 전자 빔과 같은 에너지 빔에 노출된다. 자외선 복사 및 전자 빔들 양자 모두는 적어도 5 % 만큼 실리콘 질화물 층 내의 전하 트랩 깊이를 증가시킨다고 여겨진다. 증착된 실리콘 질화물 물질을 자외선 복사 또는 전자 빔들에 노출시키는 것은 브로드밴드 UV 복사를 흡수하는 Si-H 및 N-H 결합과 같은 원치 않는 수소 결합들을 분리함으로써 상기 증착된 물질의 수소 함유량의 감소를 야기한다. 이용가능한 질소 원자들과 잔존하는 실리콘 원자 결합들은 Si-N 결합들을 형성한다. FTIR 스펙트럼으로부터, 자외선 복사를 이용한 처리 이후 N-H 스트레치(stretch) 피크 및 Si-H 스트레치 피크 양자 모두의 크기가 현저히 감소되는 반면, Si-N 스트레치 피크의 크기는 증가함을 알 수 있다. 이는 자외선 처리 이후 결과적인 실리콘 질화물 물질이 더 적은 수의 N-H 및 Si-H 결합들, 및 바람직한 증가된 수의 Si-N 결합들을 포함함을 보여준다.
자외선 또는 전자 에너지 빔 노출은 증착 챔버(40) 그 자체 내에서 또는 별개의 챔버에서 수행될 수 있다. 예를 들어 기판(22) 상의 실리콘 질화물 층(26)의 증착 동안 또는 이러한 증착 직후에, 실리콘 질화물 층(26)은 증착 챔버(40) 안에서 자외선 또는 전자 빔 복사에 노출될 수 있다. 자외선 또는 전자 빔들은 CVD 챔버에서 인-시튜로 가해질 수 있다. 증착 동안의 자외선 또는 전자 빔 노출은, 실리콘 질화물 층(26)에 형성되는 전하 트랩들의 깊이를 증가시키도록 바람직하지 않은 결합들이 형성됨에 따라 이러한 바람직하지 않은 결합들을 분리한다고 여겨진다.
R-H 결합에 대한 원자간 거리의 함수로써 결합 에너지를 보여주는 모델이 도 4a에 도시되고, 여기서 R은 예를 들어 (H3Si)N-H의 경우에서의 N과 같은 베이스 원자이다. 결합 에너지 그래프(plot)가 바닥 상태 결합 및 여기된 결합에 대해 도시된다. 여기된 결합을 최초 거리만큼 스트레치하는데 필요한 에너지는 수직 화살표들에 의해 도시된 것처럼 바닥 상태 결합을 동일한 최초 거리만큼 스트레치하는데 필요한 에너지보다 실질적으로 더 작다. 따라서 바닥 상태로부터 직접 결합을 절단(cleave)하는 것보다 여기된 상태로부터 결합을 절단하는 것이 더 쉽고, 결합 절단에 의해 형성되는 바람직한 댕글링 결합들은 따라서 여기된 상태 결합들로부터 획득되기 더 쉽다.
여기된 상태 N-H 결합 및 바닥 상태 N-H 결합 양자 모두에 대해, (H3Si)N-H의 N-H 결합을 스트레치하는데 필요한 에너지를 보여주는 모델이 도 4b에 도시된다. 이는 여기된 상태 결합의 결합 스트레치가 바닥 상태 결합의 결합 스트레치보다 더 적은 에너지를 필요로 함을 추가로 보여준다. 따라서, 결합 절단 및 댕글링 결합 형성은 실리콘 질화물 층(26)에 형성되는 전하 트랩들의 깊이를 증가시키는 메커니즘이라고 여겨진다.
도 3은 기판(22)을 자외선 복사 또는 전자 빔 처리에 노출시키기 위해 이용될 수 있는 노출 챔버(106)의 예시적인 실시예를 도시한다. 도시된 양상에서 챔버(106)는, 릴리스 위치와 상승 위치 사이의 간격 조정을 허용하도록, 노출 소스(108)로부터 떨어진, 릴리스 위치와 소스(108)에 인접한, 상승 위치 사이에서 이동가능한 기판 지지부(58)를 포함한다. 기판 지지부(58)는 챔버(106) 내의 기판(22)을 지지한다. 기판(22)의 삽입 및 노출 챔버(106)로부터 기판(22)의 제거 동안, 기판 지지부(58)는 로딩 위치로 이동될 수 있고, 이후 증착된 실리콘 질화물 물질을 갖는 기판(22)을 자외선 복사 또는 전자 빔들에 노출시키는 동안 지지부(58)는 노출 레벨들을 최대화하기 위해 상승 위치로 상승된다. 챔버(106)는 저항성 엘리먼트와 같은 히터(110)를 더 포함하고, 이는 기판(22)의 노출 동안 목적하는 온도로 기판(22)을 가열하는데 이용될 수 있다. 가스 인입구(112)는 노출 챔버(106) 내로 가스를 유입하기 위해 제공되고 가스 배출구(114)는 챔버(106)로부터 가스를 배기하기 위해 제공된다.
노출 챔버(106)는 자외선 복사 또는 전자 빔들과 같은 적합한 에너지 빔을 제공하는 노출 소스(108)를 더 포함한다. 적합한 자외선 복사 소스는 단일한 자외선 파장 또는 브로드밴드의 자외선 파장들을 방출할 수 있다. 적합한 단일 파장 자외선 소스는 172 nm 또는 222 nm의 단일 자외선 파장을 제공하는 엑시머 자외선 소스를 포함한다. 적합한 브로드밴드 소스는 약 200 내지 약 400 nm의 파장을 갖는 자외선 복사를 생성한다. 이러한 자외선 소스들은 Fusion Company, USA 또는 Nordson Company, USA로부터 획득될 수 있다. 실리콘 질화물 물질은 전기적으로 자극(stimulate)될 때 특정 파장들로 복사하는 가스를 함유하는 램프들에 의해 생성되는 다른 파장들을 갖는 자외선 복사에 노출될 수 있다. 예를 들어, 적합한 자외선 램프는 Xe 가스를 포함할 수 있고, 이는 172 nm의 파장을 갖는 자외선 복사를 생성한다. 다른 양상들에서, 램프는 상이한 대응하는 파장들을 갖는 다른 가스들을 포함할 수 있고, 예를 들어 수은 램프들은 243 nm의 파장으로 복사하고, 중수소(deuterium)는 140 nm의 파장으로 복사하고, KrCl2는 222 nm의 파장으로 복사한다. 가스들의 상대적 농도를 변화시킴으로써, 복사 소스로부터의 출력의 파장 콘텐트는 목적하는 파장들 모두를 동시에 노출시키도록 선택될 수 있고, 따라서 필요한 노출 시간을 최소화한다. 다른 양상에서 수은 램프는 200 내지 600 nm 사이에서 최고 세기를 갖는 브로드밴드 스펙트럼을 생성하는데 이용될 수 있다.
CVD 증착 챔버(40) 및 노출 챔버(106)는 또한 단일 로봇 암에 의해 서빙(serve)되는 다중-챔버 처리 플랫폼(미도시) 상에 함께 통합될 수 있다. 노출 소스(108), 기판 지지부(58), 모터, 밸브들 또는 유동 제어기들, 가스 전달 시스템, 스로틀 밸브, 고 주파수 전력 공급원, 및 히터를 포함할 수 있는, 노출 챔버(106) 및 CVD 증착 챔버(40)의 컴포넌트들, 및 통합된 처리 시스템의 로봇 암은 적합한 제어 라인들을 통해 시스템 제어기에 의해 모두 제어될 수 있다. 시스템 제어기는 제어기의 제어 하에 적합한 모터들에 의해 이동되는 스로틀 밸브(96) 및 기판 지지부(58)와 같은 이동가능한 기계적 어셈블리들의 위치를 결정하기 위해 광학 센서들로부터의 피드백에 의존한다.
별개의 노출 챔버(106)에서 노출 처리를 위해, 기술된 증착 공정들 또는 당업계에 공지된 다른 증착 공정들 중 임의의 증착 공정에 따라 실리콘 질화물 층(26)을 갖는 기판은 노출 챔버(106) 내로 삽입되고 하강 위치에 있는 기판 지지부(58) 상에 배치된다. 기판 지지부(58)는 그 후 상승 위치로 상승되고, 지지부의 선택적인 히터(110)가 파워 온 되고, 노출 소스(180)가 활성화된다. 노출 동안, 헬륨과 같은 가스가 기판과 지지부 사이의 열적 열 전달률(thermal heat transfer rate)들을 개선하기 위해, 노출 챔버(106)를 통해 순환될 수 있다. 다른 가스들 또한 이용될 수 있다. 복사 노출의 기간 이후, 노출 소스(108)는 비활성화되고 기판 지지부(58)는 릴리스 위치로 다시 하강된다. 노출된 실리콘 질화물 층(26)을 지니고 있는(bear) 기판은 그 후 노출 챔버(106)로부터 제거된다. 이러한 공정은 공정 챔버에 필수적인 노출 소스(108)가 구비되어 있는 경우 공정 챔버(40)에서 인-시튜로 교대로 수행될 수 있다.
적합한 증착 공정 조건들이 위에서 기술되었다. 자외선 처리는 400 ℃에서 수행되었고, 전체 노출 시간은 5 내지 10 분이다. 실리콘 질화물 층(26)은 60 sccm 유량의 실란; 900 sccm 유량의 암모니아; 10,000 sccm 유량의 질소를 포함하는 공정 가스; 및 6 Torr 공정 가스 압력; 100 와트의 전극 전력 레벨; 및 11 mm의 전극 간격으로 증착될 수 있다. 브로드밴드 자외선 복사의 파장은 약 200 내지 약 1200 nm이었다. 예를 들어, Fusion H UV 광원은 약 200 내지 400 nm의 UV 파장들을 제공하고; 엑시머 UV 소스는 약 172 nm의 UV 파장들을 제공한다.
자외선 노출의 효과는 증착 공정 동안 공정 가스에 최적의 범위의 희석 가스 함유량을 제공함으로써 개선될 수 있다고 또한 결정되었다. 이는 증착된 물질에서 질소-수소 결합들의 수를 줄이기 위해 수행되었고, 이러한 질소-수소 결합들은 전형적으로 실리콘-수소 결합들보다 자외선 처리에 의해 제거하기 더 어렵다. 따라서 일 실시예에서, 이후 자외선 노출 대상이었던 실리콘 질화물 층(26)은, 희석 가스 유량이 약 5000 내지 약 15,000 sccm의 범위, 더 바람직하게는 약 10,000 sccm의 범위로 감소되었던, 약간 상이한 공정 조건들에서 증착되었다. 실란 및 암모늄 부피 유량 비율(volumetric flow ratio)들 및 유량들은 약 1:2 내지 약 1:15, 더 바람직하게는 약 1:10이었다.
전자 빔 노출
실리콘 질화물 물질은 또한 노출 챔버(106)에서 전자 빔에 대한 노출에 의해 처리될 수 있다. 전자 빔들의 소스를 포함하는 노출 소스(108)는 예를 들어 증착된 물질을 가로질러 스캐닝되는 라인 전자 소스, 또는 참조에 의해 전체로서 본원에 통합되는 Livesay의 미국 특허 제 5,003,178호에서 기술된 것과 같은 심지어 대면적 전자 빔 노출 시스템을 포함할 수 있다. 일 양상에서, 전자 빔 노출은 약 4 평방 인치 내지 약 256 평방 인치의 면적을 커버하는 전자 빔을 이용하여 수행된다. 전자 빔 노출 조건들은 가해진 전체 조사(照射) 적량(dosage), 상기 증착된 물질에 인가된 전자 빔 에너지, 및 전자 빔 전류 밀도를 포함한다.
일 양상에서, 전자 빔 노출은 약 10-5 내지 약 10-2 Torr의 진공에서, 그리고 약 100 ℃ 내지 약 400 ℃ 범위의 기판 온도에서 수행된다. 노출 에너지는 약 0.1 내지 약 100 keV 범위 내일 수 있고, 전자 빔 전류는 전형적으로 약 1 내지 약 100 mA이다. 전자 빔 선량(線量; dose)은 약 1 내지 약 100,000 μC/㎠ 범위 내이다. 선택된 선량 및 에너지는 처리될, 증착된 물질의 두께에 비례할 것이다. 일반적으로, 전자 빔 노출은 약 0.5 분 내지 약 10 분일 것이다. 하나의 예상되는 예에서, 전자 빔 처리는 200 내지 1500의 조사 적량을 제공하도록 4 kV에서, 6mA의 전류로, 400 ℃의 기판 온도에서 수행될 수 있다.
실리콘 질화물의 증착 및 전자 빔 처리는 또한 CVD 챔버, 전자 빔 조사(irradiation) 챔버, 및 CVD 챔버로부터 전자-빔 챔버로 기판을 이송하기 위한 로봇을 구비한 클러스터 플랫폼 툴에서 수행될 수 있다. CVD 및 전자-빔 챔버들에서의 처리 및 이송 동작들은 진공 조건들에서 수행된다.
플라즈마 처리
실리콘 질화물 층(26)은 또한 전하 유지 시간을 증가시키도록 플라즈마-처리될 수 있다. 플라즈마 처리의 한 가지 방법에서, 실리콘 질화물의 하나 이상의 층들이 기판을 포함하는 공정 구역 내로 제 1 공정 가스를 유입시킴으로써 CVD 공정 챔버에서 증착되고, 상기 공정 가스는 실리콘-함유 성분 및 질소-함유 성분을 포함한다. 플라즈마는 예를 들어 공정 가스에 전력을 유도성 또는 용량성 커플링함으로써 제 1 공정 가스로부터 생성된다. 에너지 공급된 공정 가스는 기판 상에 실리콘 질화물 층을 증착한다.
증착된 층은 공정 구역 내로 제 2 공정 가스를 유입시키기 위해서 제 1 공정 가스의 유동을 중단 또는 변경시킴으로써 플라즈마 처리된다. 제 2 공정 가스는 비활성 또는 비-반응성 가스(이는 제 1 공정 가스 또는 상이한 가스의 성분일 수 있음)를 포함한다. 제 2 공정 가스는 공정 가스에 전력을 유도성 또는 용량성 커플링함으로써 플라즈마를 생성하도록 에너지 공급된다. 에너지 공급된 플라즈마는 층의 전하 유지 시간을 증가시키도록 상기 증착된 실리콘 질화물 층을 처리한다.
또한 질소 플라즈마 처리 사이클로 상기 증착된 실리콘 질화물 막을 처리함으로써 전하 트랩 층의 포텐셜 에너지 웰들이 더 깊어질 수 있다고 여겨진다. 질소 플라즈마 처리는 실리콘 질화물 증착 공정을 2개의 공정 사이클들로 수정함으로써 수행될 수 있다. 제 1 사이클은 실리콘-함유 가스 및 질소-함유 가스를 포함하는 제 1 성분, 및 희석 질소 가스를 포함하는 제 2 성분을 포함하는 제 1 공정 가스를 이용하여 그리고 플라즈마를 형성하여 기판 상에 실리콘 질화물 층을 증착하기 위해 이용된다. 제 2, 질소 플라즈마 처리 사이클에서, 실리콘-함유 가스 및 질소-함유 가스를 포함하는 공정 가스의 제 1 성분의 유동은 차단(turn off)되어 희석 질소 가스를 포함하는 제 2 성분의 유동만을 제공한다. 양자 모두의 사이클들에서, 고 주파수 전압이 플라즈마를 형성하도록 전극들(44a, b)에 공급될 수 있다. 공정 사이클들은 실리콘 질화물 물질의 증착 동안 여러 번 반복된다.
제안된 설명에 의해 제한됨이 없이, 질소 플라즈마 사이클들은 실리콘-수소 결합들을 제거함으로써 실리콘 질화물 층(26)에서 수소 함유량을 줄이고 실리콘-질소 결합들의 형성을 촉진한다고 여겨진다. 그러나 질소 플라즈마 처리가 단지 증착된 실리콘 질화물 막의 얇은 표면 영역에만 영향을 줄 수 있기 때문에, 실리콘 질화물의 박막만이 기판 상에 증착되는, 짧은 증착 공정 사이클들 이후에 질소 처리 사이클이 수행된다. 최초 막의 두께는 질소 플라즈마 처리가 증착된 물질의 전체 두께를 실질적으로 관통할 수 있도록 충분히 얇다. 질소 플라즈마 처리가 실리콘 질화물 막(26)의 전체 두께의 증착 이후 수행되었다면, 증착된 물질의 얇은 표면 영역만이 적절히 처리될 것이다.
따라서 플라즈마 처리 공정은 목적하는 막 두께를 달성하기 위해 플라즈마 처리 사이클들이 뒤따르는 충분한 수의 증착 사이클들을 포함한다. 예를 들어, 각각 제 1 증착 사이클 및 제 2 질소 플라즈마 처리 사이클을 포함하는 20개의 공정 사이클들을 포함하는 증착 공정이, 500 Å의 두께로 실리콘 질화물 물질을 증착하고 플라즈마 처리하는데 이용될 수 있다. 각각의 증착 사이클은 약 2 내지 약 10 초 동안, 보다 전형적으로 약 5 초 동안 수행되었다; 그리고 각 질소 플라즈마 처리 사이클은 약 10 내지 약 30 초 동안, 보다 전형적으로 20 초 동안 수행되었다.
증착되고 플라즈마 처리된 실리콘 질화물 층(26)의 전하 유지 시간을 개선하기 위해 몇몇의 증착 공정 파라미터들이 조정될 수 있다. 예를 들어, 보다 높은 온도들에서의 증착은 약 400 내지 약 500 ℃의 기판 온도에서 질소 댕글링 결합들의 수를 개선시킨다고 여겨진다. 또한 플라즈마는 전극(44a)에 높은 무선 주파수 전압을 인가하고 제 2 전극(44b)을 접지시킴으로써 형성될 수 있고, 상기 높은 무선 주파수는 약 3 MHz 내지 약 60 MHz이고, 예를 들어 13.56 MHz의 주파수이다. 플라즈마에 의한 CVD 반응의 활성화는 열적으로 활성화된 CVD 공정들에 비하여 상대적으로 더 낮은 기판 온도들의 이용을 허용한다. 또한 높은 RF 전압들이 약 20 내지 약 100 와트의, 그리고 약 200 와트 미만의 상대적으로 낮은 전력 레벨들로 인가되어야 한다.
챔버(40)의 전극들(44a, b)에 인가된 무선 주파수 전압은 또한 펄스형 플라즈마를 생성하도록 펄스화(pulse)될 수 있다. 펄스형 플라즈마는 증착된 물질의 보다 균일한 두께를 제공한다고 여겨진다. 펄스형 플라즈마는 공정 구역을 경계짓는(bound) 전극들에 무선 주파수 전압의 전압 펄스들을 인가함으로써 생성된다. 전압 펄스들은 각각 듀티 사이클을 가지고, 듀티 사이클은 펄스 주기(T2)에 대한 펄스 지속시간(T1)의 비율이다. 펄스형 파형에서, 펄스 지속시간은 (a) 제 1 천이 동안 펄스 진폭이 그것의 최종 진폭 중 특정 비율(레벨)에 도달하는 시간과 (b) 마지막 천이 상에서 동일한 레벨로 펄스 진폭이 떨어지는 시간 간의 간격이다. 일반적으로, 최종 진폭의 50 % 포인트들 간의 간격이 펄스 지속시간을 결정 또는 규정하는데 이용된다. 바람직하게는 전압 펄스들은 직사각형 펄스들이지만, 이들은 또한 예를 들어 구형파 또는 사인파 펄스들과 같은 다른 형상들을 가질 수 있다. 펄스형 RF 전력은 약 100 내지 약 500 와트의 전력 레벨로 제공된다. 선택된 전력 레벨은 상대적으로 높은데, 이는 높은-전력 레벨들에서 SiH4 및 NH3가 보다 완전히 해리(dissociate)될 것이고 따라서 증착된 막의 전체적인 수소 함유량을 줄일 것이라 여겨지기 때문이다.
전압 펄스들의 듀티 사이클은 또한 증착된 실리콘 질화물 층(26)의 전하 유지 특성들을 제어하도록 선택될 수 있다. 상이한 펄스 유형들, 무선 주파수 레벨, 와트수, 및 비율 T2/T1이 목적하는 특성들을 제공하도록 선택될 수 있다. 펄스 지속시간(T1)을 감소시키고/시키거나 펄스 주기(T2)를 증가시키는 더 작은 듀티 사이클들이 보다 양호한 전하 유지를 제공한다는 점이 발견되었다. 바람직하게 듀티 사이클은 약 60 % 미만이다. 듀티 사이클 범위는 바람직하게는 약 10 % 내지 약 50 %, 더 바람직하게는 약 20 %부터이다. 이러한 듀티 사이클들에 대해, 펄스 주파수는 10 내지 1000 Hz 범위이다. 하나의 선호되는 실시예에서, 펄스 지속시간이 4 ms(예를 들어 1μs)이고 펄스 주기는 20ms(예를 들어 4μs)인 50 Hz의 펄스 열(train)에 대해 듀티 사이클은 20 %(예를 들어, 0.25)이다. 펄스형 플라즈마 공정들에서, 약 3 MHz 내지 약 60 MHz 범위의 주파수를 갖는 높은 RF 전압이 약 100 내지 약 1000 와트의 전력 레벨로 전극들(44a, b) 간에 인가되었다. 적합한 공정 가스는, 본원에서 기술된 유동 범위들에서, 실란, 암모니아, 질소 및 선택적으로 아르곤을 포함한다.
본원에서 제공된 예시적인 공정들에서 기술되는 것처럼 챔버(40)에서 증착된 실리콘 질화물 층(26)을 포함하는 플래시 메모리 소자는 보다 높은 전하 유지 시간을 가지고, 이는 보다 높은 농도의 질소 댕글링 결합들에 기인하는 것이라 여겨진다.
본 발명의 예시적인 실시예들이 도시되고 기술되지만, 당업자는 본 발명을 통합하고 또한 본 발명의 범위 내에 있는 다른 실시예들을 고안할 수 있다. 또한, 용어들 하부, 상부, 바닥, 최상부, 위, 아래, 제 1 및 제 2 그리고 다른 상대적 또는 위치상의 용어들은 도면들에서 예시적인 실시예들을 참조하여 제시되고, 상호교환될 수 있다. 그러므로, 첨부된 청구범위들은 본 발명을 예시하기 위해 본원에서 기술된, 선호되는 양상들, 물질들, 또는 공간적 배열들에 대한 설명들로 제한되어서는 안 된다.

Claims (23)

  1. 플래시 메모리 소자를 형성하는 방법으로서,
    (a) 기판 상에 실리콘 이산화물 층을 형성하는 단계;
    (b) 상기 실리콘 이산화물 층 상에 실리콘 질화물 층을 증착하는 단계;
    (c) 상기 실리콘 질화물 층을, 탄소 함유 종들을 포함하는 도펀트 공정 가스에 노출시키는 단계;
    (d) 탄소를 포함하는 도펀트로 상기 실리콘 질화물 층을 도핑하기 위해 플라즈마를 형성하도록 상기 도펀트 공정 가스에 에너지를 공급(energize)함으로써 도핑된 실리콘 질화물 층을 형성하는 단계;
    (e) 상기 도핑된 실리콘 질화물 층 상에 유전체 물질을 증착하는 단계; 및
    (f) 상기 유전체 물질 상에 도전성 게이트를 증착하는 단계
    를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 (d) 단계는:
    도핑되지 않은 실리콘 질화물 층에 비해 상기 도핑된 실리콘 질화물 층의 전하 유지 시간을 적어도 5% 만큼 증가시키기에 충분히 높은 비율로 도펀트를 포함하는 상기 도핑된 실리콘 질화물 층을 형성하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 (d) 단계는:
    도핑되지 않은 실리콘 질화물 층에 비해 상기 도핑된 실리콘 질화물 층의 전하 유지 시간을 적어도 1% 만큼 증가시키기에 충분히 높은 비율로 도펀트를 포함하는 상기 도핑된 실리콘 질화물 층을 형성하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 (d) 단계는:
    1% 내지 50%의 비율로 도펀트를 포함하는 상기 도핑된 실리콘 질화물 층을 형성하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 (b) 단계에서, 상기 실리콘 질화물 층은 SiH4, NH3 및 N2를 포함하는 증착 공정 가스를 이용해 증착되는,
    플래시 메모리 소자를 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 (d) 단계는:
    탄소를 포함하는 상기 도펀트로 상기 증착된 실리콘 질화물 층을 도핑하기 위해 상기 기판을 가열하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 유전체 물질은 하이(high)-k 유전체를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 유전체 물질은 알루미늄 산화물을 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 도전성 게이트는 티타늄 또는 탄탈을 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  10. 플래시 메모리 소자를 형성하는 방법으로서,
    (a) 기판 상에 실리콘 이산화물 층을 형성하는 단계;
    (b) 상기 실리콘 이산화물 층 상에 실리콘 질화물 층을 증착하는 단계;
    (c) 상기 (b) 단계 중에, 탄소를 포함하는 도펀트로 상기 실리콘 질화물 층을 도핑하기 위해 상기 실리콘 질화물 층을 에너지가 공급된 도펀트 공정 가스를 포함하는 플라즈마에 노출시키는 단계 ― 상기 도펀트 공정 가스는 탄소 함유 종들을 포함함 ―;
    (d) 상기 도핑된 실리콘 질화물 층 상에 유전체 물질을 증착하는 단계; 및
    (e) 상기 유전체 물질 상에 도전성 게이트를 증착하는 단계
    를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 실리콘 질화물 층은 SiH4, NH3 및 N2를 포함하는 증착 공정 가스를 이용해 증착되는,
    플래시 메모리 소자를 형성하는 방법.
  12. 제 10 항에 있어서,
    상기 유전체 물질은 하이(high)-k 유전체를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  13. 제 10 항에 있어서,
    상기 유전체 물질은 알루미늄 산화물을 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  14. 제 10 항에 있어서,
    상기 도전성 게이트는 티타늄 또는 탄탈을 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  15. 제 10 항에 있어서,
    상기 (b) 단계는:
    도핑되지 않은 실리콘 질화물 층에 비해 상기 도핑된 실리콘 질화물 층의 전하 유지 시간을 적어도 5% 만큼 증가시키기에 충분히 높은 비율로 도펀트를 포함하는 상기 도핑된 실리콘 질화물 층을 형성하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  16. 제 10 항에 있어서,
    상기 (b) 단계는:
    도핑되지 않은 실리콘 질화물 층에 비해 상기 도핑된 실리콘 질화물 층의 전하 유지 시간을 적어도 1% 만큼 증가시키기에 충분히 높은 비율로 도펀트를 포함하는 상기 도핑된 실리콘 질화물 층을 형성하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  17. 플래시 메모리 소자를 형성하는 방법으로서,
    (a) 기판 상에 실리콘 이산화물 층을 형성하는 단계;
    (b) 상기 실리콘 이산화물 층 상에 실리콘 질화물 층을 증착하는 단계;
    (c) 상기 (b) 단계 중에, 탄소를 포함하는 도펀트로 상기 증착된 실리콘 질화물 층을 도핑하기 위해 상기 실리콘 질화물 층을 에너지가 공급된 도펀트 공정 가스를 포함하는 플라즈마에 노출시키는 단계 ― 상기 도펀트 공정 가스는 탄소 함유 종들을 포함함 ―;
    (d) 상기 (c) 단계 중에, 탄소를 포함하는 도펀트로 상기 실리콘 질화물 층을 도핑하기 위해 상기 기판을 가열하는 단계;
    (e) 상기 도핑된 실리콘 질화물 층 상에 유전체 물질을 증착하는 단계; 및
    (f) 상기 유전체 물질 상에 도전성 게이트를 증착하는 단계
    를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  18. 제 17 항에 있어서,
    상기 실리콘 질화물 층은 SiH4, NH3 및 N2를 포함하는 증착 공정 가스를 이용해 증착되는,
    플래시 메모리 소자를 형성하는 방법.
  19. 제 17 항에 있어서,
    상기 유전체 물질은 하이(high)-k 유전체를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  20. 제 17 항에 있어서,
    상기 유전체 물질은 알루미늄 산화물을 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  21. 제 17 항에 있어서,
    상기 도전성 게이트는 티타늄 또는 탄탈을 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  22. 제 17 항에 있어서,
    상기 (c) 단계는:
    도핑되지 않은 실리콘 질화물 층에 비해 상기 도핑된 실리콘 질화물 층의 전하 유지 시간을 적어도 5% 만큼 증가시키기에 충분히 높은 비율로 도펀트를 포함하는 상기 도핑된 실리콘 질화물 층을 형성하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
  23. 제 17 항에 있어서,
    상기 (c) 단계는:
    도핑되지 않은 실리콘 질화물 층에 비해 상기 도핑된 실리콘 질화물 층의 전하 유지 시간을 적어도 1% 만큼 증가시키기에 충분히 높은 비율로 도펀트를 포함하는 상기 도핑된 실리콘 질화물 층을 형성하는 단계를 포함하는,
    플래시 메모리 소자를 형성하는 방법.
KR1020117011380A 2008-10-21 2009-10-21 실리콘 질화물 전하 트랩 층을 갖는 비-휘발성 메모리 KR101553554B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/255,617 US8252653B2 (en) 2008-10-21 2008-10-21 Method of forming a non-volatile memory having a silicon nitride charge trap layer
US12/255,617 2008-10-21

Publications (2)

Publication Number Publication Date
KR20110086090A KR20110086090A (ko) 2011-07-27
KR101553554B1 true KR101553554B1 (ko) 2015-09-17

Family

ID=42107963

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117011380A KR101553554B1 (ko) 2008-10-21 2009-10-21 실리콘 질화물 전하 트랩 층을 갖는 비-휘발성 메모리

Country Status (6)

Country Link
US (3) US8252653B2 (ko)
JP (1) JP2012506640A (ko)
KR (1) KR101553554B1 (ko)
CN (2) CN102197483A (ko)
TW (1) TW201025513A (ko)
WO (1) WO2010048236A2 (ko)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8283265B2 (en) * 2008-12-19 2012-10-09 Varian Semiconductor Equipment Associates, Inc. Method to enhance charge trapping
US8298891B1 (en) * 2009-08-14 2012-10-30 Intermolecular, Inc. Resistive-switching memory element
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5666319B2 (ja) * 2011-01-12 2015-02-12 東京エレクトロン株式会社 温度センサ、温度センサの製造方法、半導体装置及び半導体装置の製造方法
TWI534897B (zh) * 2011-01-14 2016-05-21 賽普拉斯半導體公司 具有多重氮氧化物層之氧化物-氮化物-氧化物堆疊
JP5839804B2 (ja) * 2011-01-25 2016-01-06 国立大学法人東北大学 半導体装置の製造方法、および半導体装置
KR20130118963A (ko) 2011-01-26 2013-10-30 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물 및 실리콘 산질화물의 플라즈마 처리
JP5665627B2 (ja) * 2011-03-30 2015-02-04 東京エレクトロン株式会社 シリコン酸化物膜及びシリコン窒化物膜の積層方法、並びに成膜装置及び半導体装置の製造方法
CN102412253A (zh) * 2011-11-30 2012-04-11 上海华力微电子有限公司 浮体效应存储器件用soi硅片及制造方法、存储器件
US8853099B2 (en) 2011-12-16 2014-10-07 Intermolecular, Inc. Nonvolatile resistive memory element with a metal nitride containing switching layer
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US20140209995A1 (en) * 2013-01-29 2014-07-31 Cheong Min Hong Non-Volatile Memory Cells Having Carbon Impurities and Related Manufacturing Methods
JP6562629B2 (ja) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20170176780A1 (en) * 2014-04-02 2017-06-22 Yissum Research Development Company Of The Hebrew University Of Jerusalem Ltd. Semiconductor waveguide structure
US9297073B2 (en) * 2014-04-17 2016-03-29 Applied Materials, Inc. Accurate film thickness control in gap-fill technology
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10825681B2 (en) * 2016-08-13 2020-11-03 Applied Materials, Inc. 3D CTF integration using hybrid charge trap layer of sin and self aligned SiGe nanodot
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
TWI621215B (zh) * 2016-12-27 2018-04-11 National Taiwan Normal University 快閃記憶體結構及其製造方法
JP6529956B2 (ja) * 2016-12-28 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
FR3062238A1 (fr) * 2017-01-26 2018-07-27 Soitec Support pour une structure semi-conductrice
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN108417481B (zh) * 2018-03-22 2021-02-23 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置
DE102018121897A1 (de) 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5264724A (en) * 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
US5406509A (en) 1991-01-18 1995-04-11 Energy Conversion Devices, Inc. Electrically erasable, directly overwritable, multibit single cell memory elements and arrays fabricated therefrom
US5536947A (en) 1991-01-18 1996-07-16 Energy Conversion Devices, Inc. Electrically erasable, directly overwritable, multibit single cell memory element and arrays fabricated therefrom
US5534712A (en) 1991-01-18 1996-07-09 Energy Conversion Devices, Inc. Electrically erasable memory elements characterized by reduced current and improved thermal stability
JP3454821B2 (ja) 1991-08-19 2003-10-06 エナージー・コンバーション・デバイセス・インコーポレーテッド 電気的に消去可能な、直接重ね書き可能なマルチビット単セルメモリ素子およびそれらから作製したアレイ
US5217567A (en) * 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
JP3156354B2 (ja) 1992-03-27 2001-04-16 松下電器産業株式会社 半導体装置の製造方法
US5294518A (en) * 1992-05-01 1994-03-15 International Business Machines Corporation Amorphous write-read optical storage memory
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JPH0964205A (ja) * 1995-08-22 1997-03-07 Sony Corp 窒化シリコン膜の形成方法
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
JPH1140682A (ja) 1997-07-18 1999-02-12 Sony Corp 不揮発性半導体記憶装置及びその製造方法
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
WO1999014868A1 (fr) * 1997-09-16 1999-03-25 Sanyo Electric Co., Ltd. Procede de suppression d'echo, annuleur d'echo et commutateur vocal
US6037235A (en) * 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
US6413871B2 (en) * 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP2001189390A (ja) 1999-12-28 2001-07-10 Sony Corp 半導体不揮発性記憶装置の製造方法
JP2001267437A (ja) * 2000-03-22 2001-09-28 Sony Corp 不揮発性半導体記憶装置およびその製造方法
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
JP2002067412A (ja) 2000-08-25 2002-03-05 Toshiba Tec Corp プリンタ
US6750157B1 (en) 2000-10-12 2004-06-15 Advanced Micro Devices, Inc. Nonvolatile memory cell with a nitridated oxide layer
JP4151229B2 (ja) 2000-10-26 2008-09-17 ソニー株式会社 不揮発性半導体記憶装置およびその製造方法
US20030017670A1 (en) * 2001-07-20 2003-01-23 Macronix International Co., Ltd. Method of manufacturing a semiconductor memory device with a gate dielectric stack
US6768157B2 (en) * 2001-08-13 2004-07-27 Advanced Micro Devices, Inc. Memory device
US6806526B2 (en) * 2001-08-13 2004-10-19 Advanced Micro Devices, Inc. Memory device
JP2003068893A (ja) 2001-08-28 2003-03-07 Hitachi Ltd 不揮発性記憶素子及び半導体集積回路
US6925007B2 (en) 2001-10-31 2005-08-02 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
JP2003224274A (ja) 2002-01-28 2003-08-08 Denso Corp 半導体装置
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
KR100493022B1 (ko) 2002-07-10 2005-06-07 삼성전자주식회사 Sonos 구조를 갖는 불휘발성 메모리 소자의 제조 방법
US20040007583A1 (en) * 2002-07-15 2004-01-15 Chuan-Cheng Lin Packing box
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
US6878620B2 (en) * 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050088261A1 (en) 2003-10-24 2005-04-28 Lianjun Liu Method of making a micromechanical device
KR100578131B1 (ko) * 2003-10-28 2006-05-10 삼성전자주식회사 비휘발성 기억 소자 및 그 형성 방법
US7183166B2 (en) 2003-11-25 2007-02-27 Macronix International Co., Ltd. Method for forming oxide on ONO structure
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
JP4546117B2 (ja) * 2004-03-10 2010-09-15 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置
US20050199585A1 (en) 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
JP4760081B2 (ja) 2004-04-21 2011-08-31 株式会社デンソー 半導体装置及びその製造方法
US7220982B2 (en) * 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7163877B2 (en) 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US7288784B2 (en) * 2004-08-19 2007-10-30 Micron Technology, Inc. Structure for amorphous carbon based non-volatile memory
US7033956B1 (en) 2004-11-01 2006-04-25 Promos Technologies, Inc. Semiconductor memory devices and methods for making the same
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7374174B2 (en) * 2004-12-22 2008-05-20 Micron Technology, Inc. Small electrode for resistance variable devices
US20060131555A1 (en) * 2004-12-22 2006-06-22 Micron Technology, Inc. Resistance variable devices with controllable channels
US7355235B2 (en) 2004-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for high-k gate dielectrics
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP2006237311A (ja) 2005-02-25 2006-09-07 Matsushita Electric Ind Co Ltd 不揮発性半導体記憶装置およびその製造方法
JP4515293B2 (ja) 2005-03-08 2010-07-28 パナソニック株式会社 半導体集積回路装置およびその製造方法
DE102005011054A1 (de) * 2005-03-10 2006-09-14 Smiths Heimann Gmbh Verfahren und Vorrichtung zur Kontrolle von Handgepäck und anderen mitgeführten Gegenständen
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
KR100669089B1 (ko) 2005-07-11 2007-01-16 삼성전자주식회사 게이트 구조물, 이를 갖는 소노스 타입의 비휘발성 메모리장치 및 그 제조 방법
KR100628875B1 (ko) * 2005-08-19 2006-09-26 삼성전자주식회사 소노스 타입의 비휘발성 메모리 장치 및 그 제조 방법
KR100632651B1 (ko) * 2005-09-15 2006-10-11 주식회사 하이닉스반도체 플래쉬 메모리소자의 제조방법
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
KR100745957B1 (ko) * 2006-02-07 2007-08-02 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조 방법
KR100824401B1 (ko) 2006-03-07 2008-04-22 삼성전자주식회사 낸드 플래시 메모리의 셀 어레이 구조
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
KR20080010623A (ko) * 2006-07-27 2008-01-31 삼성전자주식회사 비휘발성 반도체 메모리 소자 및 그 제조방법
KR101025762B1 (ko) 2006-09-27 2011-04-04 삼성전자주식회사 블로킹 산화막을 구비하는 플래쉬 메모리 소자의 제조 방법
KR20080035919A (ko) 2006-10-20 2008-04-24 삼성전자주식회사 플래시 메모리 소자 및 그 형성방법
KR100890040B1 (ko) * 2006-10-23 2009-03-25 주식회사 하이닉스반도체 전하트랩층을 갖는 불휘발성 메모리소자 및 그 제조방법
JP2008112762A (ja) * 2006-10-27 2008-05-15 Tokyo Electron Ltd 高誘電体膜の形成方法および半導体装置の製造方法
JP4985929B2 (ja) * 2006-10-31 2012-07-25 スタンレー電気株式会社 有機薄膜素子およびタンデム型光電変換素子
KR100873073B1 (ko) * 2006-11-24 2008-12-09 삼성모바일디스플레이주식회사 비휘발성 메모리 소자 및 그 제조방법과 이를 포함한메모리 장치
KR101033221B1 (ko) * 2006-12-29 2011-05-06 주식회사 하이닉스반도체 전하트랩층을 갖는 불휘발성 메모리소자 및 그 제조방법
JPWO2008117798A1 (ja) * 2007-03-26 2010-07-15 東京エレクトロン株式会社 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
KR100894098B1 (ko) * 2007-05-03 2009-04-20 주식회사 하이닉스반도체 빠른 소거속도 및 향상된 리텐션 특성을 갖는 불휘발성메모리소자 및 그 제조방법
US7718533B2 (en) * 2007-05-08 2010-05-18 Micron Technology, Inc. Inverted variable resistance memory cell and method of making the same
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
WO2009045964A1 (en) * 2007-10-01 2009-04-09 Applied Materials, Inc. Low temperature conformal oxide formation and applications
US8252696B2 (en) * 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7723180B2 (en) * 2008-04-11 2010-05-25 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
US8110476B2 (en) * 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same

Also Published As

Publication number Publication date
CN102197483A (zh) 2011-09-21
US20100099247A1 (en) 2010-04-22
JP2012506640A (ja) 2012-03-15
WO2010048236A3 (en) 2010-07-29
TW201025513A (en) 2010-07-01
KR20110086090A (ko) 2011-07-27
US20100096688A1 (en) 2010-04-22
CN103280446A (zh) 2013-09-04
US20100096687A1 (en) 2010-04-22
US8252653B2 (en) 2012-08-28
WO2010048236A2 (en) 2010-04-29
US7816205B2 (en) 2010-10-19
US8501568B2 (en) 2013-08-06

Similar Documents

Publication Publication Date Title
KR101553554B1 (ko) 실리콘 질화물 전하 트랩 층을 갖는 비-휘발성 메모리
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
JP6856651B2 (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US8198671B2 (en) Modification of charge trap silicon nitride with oxygen plasma
KR101244850B1 (ko) 인장 응력 및 압축 응력을 받은 반도체용 재료
KR102243442B1 (ko) SiN 박막의 형성 방법
KR101201402B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
CN100561708C (zh) 制造受应力电晶体结构的集成制程
KR100914570B1 (ko) 스트레스가 가해진 트랜지스터 구조물을 제조하는 집적화프로세스
KR101043530B1 (ko) 실리콘 및 금속 나노크리스탈 핵생성을 위한 플라즈마 표면처리
CN102437053A (zh) 增加pecvd氮化硅膜层的压缩应力的方法
JP2017531920A (ja) 高温酸化ケイ素原子層堆積技術
WO2006088062A1 (ja) 半導体デバイスの製造方法および基板処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant