KR101511457B1 - 왕복 서셉터를 갖는 증착 장치를 이용한 층의 증착 - Google Patents

왕복 서셉터를 갖는 증착 장치를 이용한 층의 증착 Download PDF

Info

Publication number
KR101511457B1
KR101511457B1 KR1020137011910A KR20137011910A KR101511457B1 KR 101511457 B1 KR101511457 B1 KR 101511457B1 KR 1020137011910 A KR1020137011910 A KR 1020137011910A KR 20137011910 A KR20137011910 A KR 20137011910A KR 101511457 B1 KR101511457 B1 KR 101511457B1
Authority
KR
South Korea
Prior art keywords
substrate
reactors
susceptor
delete delete
unit
Prior art date
Application number
KR1020137011910A
Other languages
English (en)
Other versions
KR20130062374A (ko
Inventor
이상인
황창완
Original Assignee
비코 에이엘디 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비코 에이엘디 인코포레이티드 filed Critical 비코 에이엘디 인코포레이티드
Publication of KR20130062374A publication Critical patent/KR20130062374A/ko
Application granted granted Critical
Publication of KR101511457B1 publication Critical patent/KR101511457B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

원자층 증착은 두 방향으로 서셉터를 왕복운동시키고, 서셉터 상의 기판이 공정들의 두 개의 상이한 시퀀스들을 겪도록 함으로써 수행된다. 서셉터가 공정들의 상이한 시퀀스들을 겪도록 함으로써, 기판은 그렇지 않다면 추가적인 주입기들 또는 반응기들의 세트를 필요로 할 상이한 공정들을 경험한다. 감소된 숫자의 주입기들 또는 반응기들은 더욱 소형의 증착 장치를 가능하게 하고, 증착 장치와 관련된 비용을 감소시킨다.

Description

왕복 서셉터를 갖는 증착 장치를 이용한 층의 증착{DEPOSITION OF LAYER USING DEPOSITING APPARATUS WITH RECIPROCATING SUSCEPTOR}
본 발명은 원자층 증착(Atomic Layer Deposition, ALD)을 이용하여 기판상에 하나 이상의 물질층을 증착하는 것에 관한 것이다.
원자층 증착(ALD)은 기판상에 하나 이상의 물질층을 증착하기 위한 얇은 박막 증착 기술이다. ALD는 두 가지 유형의 화학 물질을 사용하며, 하나는 원료 전구체이고 다른 하나는 반응 전구체이다. 일반적으로, ALD는 다음의 네 단계를 포함한다. (i)원료 전구체 주입, (ⅱ) 원료 전구체의 물리 흡착층의 제거, (ⅲ) 반응 전구체 주입, 및 (ⅳ) 반응 전구체의 물리 흡착층의 제거. ALD는 원하는 두께의 층이 얻어지기 전에 긴 시간 또는 많은 반복이 소요되는 느린 공정일 수 있다. 그러므로, 공정을 신속히 처리하기 위해, 미국 공개특허공보 제 2009/0165715 호에 기술된 유닛 모듈(소위 선형 주입기라 불리는)을 구비한 기상 증착 반응기 또는 다른 유사한 장치들이 ALD 공정을 신속히 처리하는데 사용된다. 유닛 모듈은 원료 물질을 위한 주입부 및 배기부(원료 모듈), 그리고 반응 물질을 위한 주입부 및 배기부(반응 모듈)를 포함한다.
종래의 ALD 기상 증착 챔버는 기판들에 ALD 층들을 증착하기 위한 하나 이상의 반응기 세트들을 갖는다. 기판이 반응기들 아래로 통과할 때 기판은 원료 전구체, 퍼지 기체 및 반응 전구체에 노출된다. 기판에 증착된 원료 전구체 분자들이 반응 전구체 분자들과 반응하거나 원료 전구체 분자들이 반응 전구체 분자들에 의하여 치환됨으로써 기판상에 물질층을 증착시킨다. 원료 전구체 또는 반응 전구체에 기판을 노출시킨 후에, 과잉 원료 전구체 분자들 또는 반응 전구체 분자들을 기판으로부터 제거하기 위해 기판은 퍼지 기체에 노출될 수 있다.
본 발명의 목적은 기판상에 물질층을 증착할 때, 주입기 또는 라디칼 반응기를 추가하지 않고도 추가적인 표면 처리를 수행할 수 있는 증착 방법 또는 증착 장치를 제공하는 데 있다.
실시 예들은 기판과 반응기들 사이에서 두 개의 상반된 방향으로 상대적 움직임들을 유발하는 것에 의해 기판에 하나 이상의 물질층을 증착하는 것에 관한 것이다. 반응기들은 기판이 반응기들을 통과할 때 기판 위로 기체 또는 라디칼들을 주입한다. 기판과 반응기들이 제 1 방향으로 상대적 움직임을 만들 때, 기판 위로 적어도 하나의 전구체 기체 및 반응 기체를 주입함에 의해 적어도 하나의 원자층이 기판상에 증착된다. 기판과 반응기들이 제 2 방향으로 상대적 움직임을 만들 때, 반응기들에 의해 기판 표면의 어닐링(annealing)이 수행된다.
일 실시 예에서, 서셉터와 반응기들의 제 1 방향 및 제 2 방향으로의 상대적인 움직임들은 미리 결정된 횟수동안 반복된다. 이렇게하여, 원하는 두께의 층이 얻어질 수 있다.
일 실시 예에서, 비활성 기체의 라디칼들이 기판의 표면을 처리하기 위해 기판 위로 주입된다. 원료 전구체는 기판 위로 비활성 기체의 라디칼들이 주입된 후에 기판 위로 주입된다. 비활성 기체의 라디칼들에 기판의 표면을 노출시키는 것은 기판 표면상의 원료 전구체 분자들의 흡수율을 증가시켜, 유리하게 층의 증착률을 증가시킨다. 비활성 기체는 아르곤 기체를 포함할 수 있다.
일 실시 예에서, 전구체 기체는 트리메틸알루미늄(trimethylaluminium)을 포함한다. 반응 기체는 산소 라디칼을 포함한다. 증착된 층은 Al2O3이다
본 발명의 실시 예들에 따르면, 기판상에 물질층을 증착할 때, 주입기 또는 라디칼 반응기를 추가하지 않고도 추가적인 표면 처리를 수행할 수 있으므로, 증착 장치의 제작 비용 및 복잡도가 감소될 수 있다.
도 1은 일 실시 예에 따른 선형 증착 장치의 단면도이다.
도 2는 일 실시 예에 따른 선형 증착 장치의 사시도이다.
도 3a는 일 실시 예에 따른 회전 증착 장치의 사시도이다.
도 3b는 일 실시 예에 따른 반응기를 설명하는 도면이다.
도 4a 내지 4g는 일 실시 예에 따라 기판상에 하나 이상의 물질을 증착하기 위한 공정의 시퀀스를 설명하는 개념도들이다.
도 5는 일 실시 예에 따라 기판상에 하나 이상의 층을 증착하는 공정을 설명하는 순서도이다.
도 6a 내지 도 9b는 다양한 실시 예에 따라서 다양한 반응기 유닛들에 의해 기판상에서 수행되는 공정들을 설명하는 도면들 및 테이블들이다.
여기서 실시 예들은 첨부된 도면들을 참조하여 설명된다. 그러나, 여기서 개시된 원칙들은 많은 다른 형태로 구현될 수 있고, 여기서 기술된 실시 예에 한정되는 것으로 이해되지 않아야 한다. 본 명세서에서, 실시 예의 특징들을 필요이상으로 모호하게 하는 것을 피하기 위해 잘 알려진 특징들 및 기술들에 대한 상세한 설명은 생략될 수 있다.
도면들에서, 도면들에 있는 유사한 참조 번호들은 유사한 구성 요소를 나타낸다. 도면의 모양, 크기 및 영역, 그리고 유사한 것들은 명확성을 위해 과장될 수 있다.
실시 예들은 두 개의 상반된 방향으로 왕복하는 서셉터(susceptor)에 의해 세섭터 위의 기판이 두 개의 다른 공정 시퀀스들을 겪도록 하여 원자층 증착을 수행하는 것에 관련된 것들이다. 기판이 한 방향으로 이동할 때, 반응기에 의해 기판에 일련의 기체 및/또는 라디칼들이 주입된다. 기판의 양 방향으로의 왕복움직임은 기판이 두 개의 다른 공정 시퀀스들을 겪도록 한다. 서셉터가 두 개의 다른 공정 시퀀스들을 겪도록 하는 것에 의해, 그렇지 않다면 추가적인 반응기 세트를 필요로 할 하나 이상의 공정들을 기판은 겪을 수 있다.
반응기의 감소된 수는 보다 소형화된 증착 장치, 및 증착 장치와 관련된 비용의 절감을 가능하게 한다.
도 1은 일 실시 예에 따른 선형 증착 장치(100)의 단면도이다. 도 2는 일 실시 예에 따른 선형 증착 장치(100)의 사시도(설명을 돕기 위해 챔버 벽(100)을 없앤)이다. 선형 증착 장치(100)는 다른 요소들 중에서 지지 기둥(111), 공정 챔버(110) 및 하나 이상의 반응기들(136)을 포함할 수 있다. 반응기들(136)은 하나 이상의 주입기들 및 라디칼 반응기들을 포함할 수 있다. 주입기 모듈들 각각은 원료 전구체, 반응 전구체, 퍼지(purge) 기체 또는 이러한 물질들의 조합을 기판(120)에 주입한다.
벽들(110)에 의해 둘러싸인 공정 챔버는 오염물질이 증착 공정에 영향을 주는 것을 방지하기 위해 진공 상태로 유지될 수 있다. 공정 챔버(110)는 기판(120)을 받는 서셉터(128)를 포함한다. 서셉터(128)는 미끄러짐 움직임을 위한 지지판(124) 위에 위치할 수 있다. 지지판(124)는 기판(120)의 온도를 제어하기 위한 온도 제어기(예를 들어, 히터 또는 냉각기)를 포함할 수 있다. 선형 증착 장치(100)는 또한 서셉터(128) 위로 기판(120)을 적재하거나 서셉터(128)에서 기판(120)을 내리는 것을 용이하게 하는 리프트 핀(lift pin)들(아래의 도 4a, 4b 및 4F 참조)을 포함할 수 있다.
일 실시 예에서, 서셉터(128)는 나사들(screw)이 형성된 연장 바(138)를 가로질러 움직이는 브래킷(bracket, 210)에 고정된다. 브래킷(210)은 연장 바(138)를 수납하는 홀들 안에 형성된 대응하는 나사들을 갖는다. 연장 바(138)는 모터(114)의 스핀들(spindle)에 고정되고, 따라서 모터(114)의 스핀들이 회전할 때 연장 바(138)는 회전한다. 연장 바(138)의 회전은 브래킷(210)(그리고, 그에 따른 서셉터(128))가 지지판(124) 위에서 선형 움직임을 만들도록 한다. 모터(114)의 속도와 회전 방향을 제어하는 것에 의해, 서셉터(128)의 선형 움직임의 속도 및 방향이 제어될 수 있다. 모터(114) 및 연장 바(138)의 사용은 단순히 서셉터(128)를 움직이는 방법의 일 예이다. 서셉터(128)를 움직이는 다양한 다른 방법들(예를 들어, 서셉터(128)의 바닥, 위 또는 측면에서 기어들과 피니온(pinion)들을 사용하는 것)이 있을 수 있다. 더욱이, 서셉터(128)의 이동을 대신하여 서셉터(128)는 정지 상태를 유지하고 반응기들(136)이 움직일 수 있다.
도 3a는 일 실시 예에 따른 회전 증착 장치(300)의 사시도이다. 도 1의 선형 증착 장치(100)의 사용을 대신하여, 또 다른 실시 예에 따라 증착 공정을 수행하기 위해 회전 증착 장치(300)가 사용될 수 있다. 회전 증착 장치(300)는 다른 요소들 중 반응기들(320, 334, 364, 368), 서셉터(318) 및 이러한 요소들을 둘러싸는 컨테이너(324)를 포함할 수 있다. 서셉터(318)는 제자리에 기판(314)을 고정한다. 반응기들(320, 334, 364, 368)은 기판(314)과 서셉터(318) 위에 위치한다. 서셉터(318) 또는 반응기들(320, 334, 364, 368)은 기판이 다른 공정들을 겪도록 회전한다.
하나이상의 반응기들(320, 334, 364, 368)은 원료 전구체, 반응 전구체, 퍼지 기체 및/또는 다른 물질들을 공급하는 기체 파이프(미도시)에 연결된다. 기체 파이프에 의해 공급되는 물질들은 (ⅱ) 반응기들(320, 334, 364, 368) 내부의 챔버에서 혼합된 후 또는 (ⅲ) 반응기들(320, 334, 364, 368) 내부에서 생성된 플라즈마에 의해 라디칼들로 변환된 후에 (ⅰ) 반응기들(320, 334, 364, 368)에 의해 직접적으로 기판(314)에 주입될 수 있다. 물질들이 기판(314)에 주입된 후에, 여분의 재료들은 배출구(330, 338)를 통해 배기될 수 있다.
여기서 기술된 실시 예들은 선형 증착 장치(100), 회전 증착 장치(300) 또는 다른 유형의 증착 장치에서 사용될 수 있다. 선형 증착 장치(100) 및 회전 증착 장치(300)를 예로 들면, 기판(120, 또는 314)을 반응기들에 대하여 한 방향으로 그 다음에 다른 방향으로 움직임으로써 기판(120, 또는 314)은 서로 다른 공정 시퀀스들을 겪을 수 있다.
도 3b는 일 실시 예에 따른 반응기(351)를 설명하는 도면이다. 반응기(351)는 선형 증착 장치(100) 또는 회전 증착 장치(300)에서 사용될 수 있다. 반응기(351)는 다른 요소들 중, 주입기(370) 및 라디칼 반응기(374)를 포함할 수 있다. 도시된 것처럼, 주입기(370) 및 라디칼 반응기(374) 아래를 통과하기에 충분한 간격을 기판(314)에 제공하기 위해 주입기(370)는 높이 H1 만큼 기판(314) 위로 들어 올려지고, 라디칼 반응기(374)는 높이 H2 만큼 기판(314) 위로 들어 올려진다.
라디칼 주입기(374)는 파이프(364)를 통해 기체를 받고, 받은 기체를 주입기(370) 내에 형성된 채널(372) 및 홀들(373)을 통해 챔버(384)로 주입한다. 주입기(374)를 통해 주입된 기체는 원료 전구체, 반응 전구체, 퍼지 기체 또는 어떤 다른 용도의 기체들일 수 있다. 챔버(384) 내에서, 기체는 그리고는 기판(314)과 접촉하게 되고, 전구체들 또는 퍼지 기체로서의 기능을 수행한다. 남은 기체는 협착 영역(386, 높이 H2를 갖는)을 통해 배출구(371)로 배출된다. 협착 영역(384)에서 기체의 유속이 증가하므로, 기판(314)의 표면으로부터 여분의 기체를 제거하는 것이 용이하게 된다.
라디칼 반응기(374)는 파이프(366)를 통해 기체를 받는다. 기체는 내부 전극(376)과 외부 전극(378) 사이에서 홀(380)로 주입된다. 전압은 기체가 홀(380)으로 주입될 때, 홀(380) 내에서 기체의 플라즈마가 라디칼들을 생성하도록 내부 전극(376)과 외부 전극(378) 사이에 걸쳐서 인가된다. 기체의 라디칼들은 그 다음에 라디칼들이 기판(314)과 접촉하게 되는 곳인 챔버(390)로 홀들(382)을 통해 주입된다. 여분의 라디칼들은 협착 영역(388, 높이 H3을 갖는)을 통과하며, 라디칼들은 비활성 상태로 되돌아가고 배출구(371)을 통해 방출된다.
도 3b의 반응기들은 예시적인 것이다. 다양한 다른 유형의 반응기들이 선형 증착 장치(100) 또는 회전 증착 장치(300)에 사용될 수 있다. 다른 실시 예들에 있어서, 반응기들은 단지 주입기들만을 포함하거나, 단지 라디칼 반응기들만을 포함하거나, 둘 이상의 주입기들 및 라디칼 반응기들을 포함하거나, 다른 시퀀스로 라디칼 반응기들/주입기들을 포함할 수 있다.
도 4a 내지 4g는 일 실시 예에 따라, 기판(124)상에 하나 이상의 물질층을 증착하기 위한 공정들의 시퀀스를 설명하는 개념도들이다. 먼저, 리프트 핀들(410)이 기판(120, 도 4a 참조)을 받기 위해 들어 올려진다. 이어서, 기판(120)을 리프트 핀(410) 위에 위치시키고(도 4b 참조) 그런 다음 리프트 핀(410)을 낮춤으로써(도 4c 참조) 기판(120)은 서셉터(124) 위에 적재된다.
그런 다음 서셉터(120)는 기판(120)이 공정들의 제 1 시퀀스를 겪도록 반응기들(130)을 가로질러 이동된다. 그런 다음 서셉터의 이동 방향은 전환되고, 서셉터는 기판(120)에 공정들의 제 2 시퀀스를 겪도록 반대 방향으로 이동한다. 공정들의 제 2 시퀀스는 공정들의 제 1 시퀀스의 거꾸로 된(reversed) 시퀀스이다. 증착된 층의 두께 또는 증착된 층의 원하는 특성에 따라, 공정들의 제 1 및 제 2 시퀀스는 미리 결정된 횟수만큼 반복될 수 있다.
제 1 및 제 2 시퀀스의 미리 결정된 횟수가 반복된 후에, 기판(120)은 리프트 핀(410)에 의해 서셉터로부터 들어 올려지고(도 4F 참조) 서셉터로부터 제거된다(도 4G 참조)
도 5는 일 실시 예에 따라 기판에 하나 이상의 물질층을 증착하는 공정을 설명하는 순서도이다. 먼저, 기판은 서셉터 위에 올려진다(510). 서셉터는(기판과 함께) 기판이 공정들의 제 1 시퀀스를 겪도록 한 방향으로 하나 이상의 반응기를 가로질러 이동된다(520). 그런 다음 서셉터는 기판이 공정들의 제 2 시퀀스를 겪도록 반대 방향으로 반응기들의 동일한 세트를 가로질러 이동된다(530). 서셉터가 양 방향으로 그러나 서로 상이한 시퀀스로 이동할 때, 반응기들은 동일한 기체 및/또는 라디칼들을 주입할 수 있다.
그런 다음 공정의 종료를 위한 조건이 충족되었는지(예를 들어, 층이 미리 결정된 두께에 도달되었는지 또는 공정들이 미리 결정된 횟수만큼 반복되었는지) 판단한다(540). 만일 종료 조건이 충족되지 않았으면, 공정은 한 방향으로 세섭터를 이동시키는 단계(520)로 되돌아가고 이후의 공정들을 반복한다. 만일 종료 조건이 충족되었으면, 공정은 서셉터로부터 기판을 내리는 단계(550)로 진행한다.
여기서는 다른 공정들에 의해 기판을 처리하는 예들이 도 6a 내지 9b를 참조하여 기술된다. 도 6a의 증착 장치는 기판(620)에 Al2O3 층을 증착하기 위한 제 1 유닛(602) 및 제 2 유닛(614)을 포함한다. 제 1 유닛(602)은 주입 모듈들(614, 622, 634) 및 라디칼 반응기(626)을 포함한다. 주입기 모듈(615)은 TMA(Trimethylaluminium, 트리메틸 알루미늄)을 주입하고, 주입기 모듈들(622, 634)은 아르곤 기체를 분사한다. 라디칼 반응기(626)는 산소 라디칼들(O*)을 생성하고 그 라디칼들을 기판(620)에 주입한다. 제 1 유닛(602) 안의 여분의 기체 또는 플라즈마는 배출구(618, 630)를 통해 방출된다. 제 2 유닛(614)은 제 1 유닛(614)과 동일한 구조를 갖는다. 즉, 제 2 유닛(614)은 세 개의 주입기 모듈들(638, 648, 660) 및 라디칼 반응기(652)를 포함한다. 주입기 모듈(638)은 TMA를 주입하고, 주입기 모듈들(648, 660)은 아르곤 기체를 주입한다. 제 2 유닛(614) 내의 여분의 기체 또는 플라즈마는 배출구(644, 656)를 통해 방출된다.
도 6a의 실시 예에서, 기판(620)은 왼쪽부터 오른쪽으로(제 1 방향으로), 그런 다음 오른쪽부터 왼쪽으로(제 2 방향으로) 이동한다. 기판(620)이 노출되는 물질 및 공정들의 시퀀스는 도 6b에서 설명된다. 제 1 방향으로 제 1 유닛(602) 아래를 움직일 때, 기판(620)은 TMA(원료 전구체로서)에 노출되고, 아르곤 기체(물리흡착된 여분의 TMA를 제거하기 위한 퍼지 기체로서)에 의해 뒤이어 노출된다. 주입된 후에, 아르곤 기체는 협착된 영역(621)을 통과한다. 협착된 영역(621)을 통과할 때, 아르곤 기체의 유속은 증가된다. 아르곤 기체의 증가된 유속은 기판(620)의 표면으로부터 초과 TMA(물리흡착된 TMA)를 효과적으로 제거하는데 기여한다.
그런 다음 기판(620)은 O*(반응 전구체로서)에 노출된다. TMA와 O* 사이의 반응은 Al2O3의 층을 유발한다. 이어서 주입된 아르곤 기체는 기판(620)의 표면으로부터 여분의 기체를 제거한다. 제 1 유닛(602) 및 제 2 유닛(614)가 동일한 구조를 갖고 동일한 기체들 또는 라디칼들을 주입하기 때문에, 기판(620)이 제 1 유닛(602) 및 제 2 유닛(615) 아래를 지나갈 때 기판(620)은 동일한 공정을 두 번 겪는다.
기판(620)이 제 2 방향으로 움직일 때, 기판(620)은 먼저 아르곤 기체에 노출되고(주입기(660)에 의해), 그런 다음 라디칼 O*에 노출된다(라디칼 반응기(652)에 의해). O*에의 노출은 기판(620)의 어닐링(annealing)을 야기한다. 그런 다음 기판(620)은 아르곤 기체를 겪고(주입기(648)에 의해), 그런 다음 TMA를 겪는다(주입기(638)에 의해). 기판(620)에는 그런 다음 아르곤 기체가 주입되고(주입기(634)에 의해), 그런 다음 O*가 주입된다(라디칼 반응기(626)에 의해). 기판(620)의 TMA에의 노출(주입기(638)에 의한) 및 이어지는 O*에의 노출(라디칼 반응기(626)에 의한)은 기판(620)상에 Al2O3 층을 형성한다(도 6b의 파선으로 된 상자 참조). 그 결과, 제 2 방향(오른쪽에서 왼쪽)으로 기판(620)을 이동하는 것은 기판(620)이 O*(라디칼 반응기(652)에 의해 생성된)에 의한 어닐링, 및 이에 이어지는 Al2O3 층의 증착을 겪도록 한다. 제 2 방향으로 기판(520)을 이동하는 마지막 단계에서, 기판(620)은 주입기(614)에 의해 TMA에 노출된다.
기판(620)은 그런 다음 제 1 방향으로 다시 이동된다. 제 1 방향으로 다시 이동할 때, 기판(620)은 주입기(615)에 의해 TMA에 다시 노출된다. 그러나, 이 추가적인 TMA에의 노출은 표면이 TMA를 흡수하는 것을 유리하게 보장할 수 있다. 나아가, 여분의 TMA의 제거(주입기(622)에 의한)는 초과 TMA를 제거하고, 그러므로 기판(620)을 TMA에 두 번 노출하는 것은 기판(620)상에 형성된 Al2O3 층의 품질에 부정적으로 작용하지 않는다.
기판(620)은 원하는 두께의 Al2O3 층을 얻기 위해 제 1 방향 및 제 2 방향 양쪽으로 미리 결정된 횟수동안 왕복 움직임을 할 수 있다.
제 2 방향으로 기판(620)을 이동하는 것은 기판(620)이 유리하게 어닐링을 겪도록 한다는 점에 주목하라. 만일 기판(620)이 단지 제 1 방향으로만 이동된다면, 기판(620)은 어떠한 어닐링 공정을 겪지 않을 것이다. 오히려, Al2O3의 두 개의 층이 기판(620)상에 형성될 것이다. 제 2 방향으로 기판(620)을 움직이는 것에 의해, 기판(620)은 어떠한 추가적인 반응기들 없이도 표면 처리될 수 있다. 따라서, 추가적인 라디칼 반응기를 제공하는 것과 관련되는 부대 비용 없이도 증착된 Al2O3 층의 특성이 강화될 수 있다.
일 실시 예에 따른, 도 7a의 증착 장치는 기판(710)에 Al2O3의 층을 증착하기 위한 제 1 유닛(704) 및 제 2 유닛(708)을 포함한다. 제 1 유닛(704)는 두 개의 주입 모듈들(712, 720) 및 두 개의 라디칼 반응기들(724, 732)을 포함한다. 주입 모듈(712)는 TMA를 주입하고, 주입 모듈(720)은 아르곤 기체를 주입한다. 라디칼 반응기(724)는 O*를 생성하고, 라디칼들을 기판(710) 위로 주입한다. 라디칼 반응기(732)는 아르곤 라디칼들(Ar*)을 생성하고, 그것들을 기판(710) 위로 주입한다. 제 1 유닛(704) 내의 여분의 기체들 또는 라디칼들은 배출구(716, 728)을 통해 방출된다. 제 2 유닛(708)은 제 1 유닛(704)와 동일한 구조를 갖는다. 즉, 제 2 유닛(708)은 두 개의 주입 모듈들(736, 744) 및 두 개의 라디칼 반응기들(748, 756)을 포함한다. 라디칼 반응기들(748, 756)은 O* 라디칼들 및 Ar* 라디칼들을 기판(710)의 표면 위로 각각 주입한다. 제 2 유닛(708) 내의 여분의 기체들 또는 라디칼들은 배출구(740, 752)를 통해 방출된다.
도 7a의 실시 예에서, 기판(710)은 왼쪽으로부터 오른쪽으로(제 1 방향으로) , 그런 다음 오른쪽으로부터 왼쪽으로(제 2 방향으로) 이동한다. 기판(710)이 노출된 물질 및 그것들의 공정 시퀀스가 도 7b에서 설명된다. 제 1 방향으로 제 1 유닛(704) 아래를 이동할 때, 기판(710)은 TMA(원료 전구체로서)에 노출되고, 이어서 주입기(720)에 의해 아르곤 기체(여분의 TMA를 제거하기 위한 퍼지 기체로서)에 노출된다. 그런 다음, 기판(710)은 라디칼 반응기(724)에 의해 O*(반응 전구체로서)에 노출된다. TMA와 O* 사이의 반응은 Al2O3 층을 야기한다. 다음의 Ar*은 기판(710)의 표면을 제 2 유닛(708) 아래로 지나갈 때 원료 전구체를 더욱 잘 흡수할 수 있는 상태로 처리한다. 이어지는 공정에서 층의 표면이 더 많은 TMA 분자들을 끌어들이게 되기 때문에, Al2O3 층의 Ar* 라디칼에의 노출은 이점이 있다. Ar* 라디칼에의 노출은 기판(710)이 Ar* 라디칼들에 노출되지 않은 경우와 비교하여 대략 새 배의 Al2O3 두께를 이끌어 낸다(도 7b의 파선으로된 타원 안의 "3 ALD"는 형성된 ALD 층의 두께가 Ar*에 사전에 노출되지 않았을 때 형성되는 ALD 층과 비교할 때 대략 새 배임을 나타낸다).
Ar*에의 노출(라디칼 반응기(732)에 의한) 이후에, 기판(710)에는 다시 TMA가 주입되고,(주입기(736)에 의해), 아르곤 기체가 주입되고(주입기(744)에 의해), O* 라디칼들이 주입되고(라디칼 반응기(748)에 의해), Ar* 라디칼들이 주입된다(라디칼 반응기(756)에 의해).
기판(710)이 제 2 방향으로 이동할 때, 기판(710)은 먼저 Ar* 라디칼들에(라디칼 반응기(756)에 의해), 그런 다음 O* 라디칼들에(라디칼 반응기(748)에 의해) 노출된다. O* 라디칼들에의 노출은 기판(710)의 어닐링을 야기한다. 기판(710)은 그런 다음 아르곤 기체(주입기(744)에 의해) 그리고 그런 다음 TMA(주입기(736)에 의해)를 겪는다. 기판(710)에는 그런 다음 아르곤 플라즈마(라디칼 반응기(732)에 의해) 및 O* 라디칼들(라디칼 반응기(724)에 의해)이 주입된다. 기판(710)의 TMA에의 노출(주입기(736)에 의한) 및 뒤이은 O*(라디칼 반응기(732)에 의한)에의 노출은 기판(710)위에 Al2O3 층을 형성한다(도 7b의 파선으로 된 상자 참조). 결과적으로, 기판(710)을 제 2 방향(오른쪽에서 왼쪽)으로 이동하는 것은 기판(710)이 O*(라디칼 반응기(748)에 의해 생성된)에 의한 어닐링, 및 이에 이어지는 Al2O3 층의 증착을 겪도록 한다. 기판(710)을 제 2 방향으로 이동시키는 마지막 단계에서, 기판(710)은 주입기(712)에 의해 TMA에 노출된다.
기판(710)은 그런 다음 제 1 방향으로 다시 이동된다. 제 1 방향으로 다시 이동할 때, 기판(710)은 주입기(712)에 의해 다시 TMA에 노출될 수 있다. 그러나, 이러한 TMA에의 추가적인 노출은 표면이 TMA를 충분하게 흡수하는 것을 유리하게 보장한다. 나아가, 여분의 TMA의 제거(주입기(720)에 의한)는 초과 TMA를 제거하고, 그에 따라 TMA에 기판을 두 번 노출시키는 것은 O*에의 노출에 의해 기판상에 형성된 Al2O3 층의 품질에 부정적으로 작용하지 않는다.
도 6a의 실시 예와 유사하게, 도 7a의 실시 예는 추가적인 반응기들을 요구하지 않고도 Ar*에의 노출로 인하여 증가된 증착 속도를 경험할 뿐 아니라 O*에 의한 어닐링도 유리하게 겪을 수 있다.
다른 실시 예들에서, 증가된 숫자의 유닛들이 추가될 수 있다. 예를 들어, 도 6a 및 7a의 실시 예에서처럼 증착 모듈의 동일한 두 개의 유닛들을 사용하는 대신, 기판의 왕복움직임 당 증착률을 증가시키기 위해 증착 모듈의 세 개 이상의 유닛들이 나란히 배치될 수 있다.
도 6a 및 7a의 실시 예들이 동일한 구성의 모듈들의 유닛들을 사용함에도 불구하고, 다른 실시 예에서 모듈들의 각각의 유닛은 다른 구성을 가질 수 있다. 도 8a는 다른 구성들의 제 1 유닛(804) 및 제 2 유닛(808)을 구비한 반응기를 설명하는 도면이다. 제 1 유닛(804)은 세 개의 주입기들(812, 820, 834) 및 Al2O3 층 증착을 위한 라디칼 반응기(824)를 포함한다. 주입기들(812, 820, 834)은 TMA, 아르곤 기체 및 아르곤 기체를 각각 기판(810)상에 주입한다. 배출구들(816, 830)은 초과 기체들 또는 라디칼들을 제 1 유닛(840)로부터 배출하기 위해 제공된다. 제 2 유닛(808)은 기판(810)을 어닐링하기 위한 라디칼 반응기(838) 및 주입기(846)를 포함한다. 제 2 유닛(808)은 또한 제 2 유닛(808)로부터 초과 기체 또는 라디칼들을 배출하기 위한 배출구(842)를 포함한다.
기판(810)이 제 1 방향(왼쪽부터 오른쪽)으로 제 1 유닛(804) 아래를 이동할 때, 기판은 도 6a의 제 1 유닛(602)을 참조하여 위에서 설명한 것처럼 동일한 일련의 공정들을 겪는다. 그러므로, 제 1 유닛(804)과 관련된 공정들에 대한 상세한 설명은 간결함을 위해서 여기서는 생략된다. 제 1 유닛(804) 아래로 지나간 후에, 기판(810)은 제 2 유닛(808) 아래로 이동한다. 기판(810)이 제 2 유닛(808) 아래를 지나갈 때, 라디칼 반응기(838)은 기판(810)의 표면상에 기판(810)의 표면을 어닐링하는 O*를 주입한다. 그런 다음 기판(810)의 표면으로부터 여분의 물질을 제거하기 위해 주입기(846)에 의해 아르곤 기체가 기판(810)상에 주입된다.
기판(810)이 제 2 방향(오른쪽에서 왼쪽)으로 움직일 때, 표면(810)에는 먼저 주입기(846)에 의해 아르곤 기체가 주입되고, 라디칼 반응기(838)에 의한 O*의 주입이 뒤따른다. 제 1 유닛(840)에서의 이후의 공정들은 도 6a의 제 1 유닛(602)에서의 공정들과 동일하고, 그러므로 제 1 유닛(804)와 관련된 공정들에 대한 상세한 설명은 간결함을 위해 생략된다. 도 8b는 제 1 유닛(804) 및 제 2 유닛(808)에 의해 기판(810)에서 수행되는 공정들을 요약한다.
제 2 방향으로 움직일 때, 기판(810)은 O*에 의해 두 번 표면 처리됨을 주목하라. 그러므로, 기판(810)은 왕복움직임의 한 사이클동안 세 번(제 1 방향으로 움직일 때 한 번, 그리고 제 2 방향으로 움직일 때 두 번) 표면 처리된다. 2회의 추가적인 표면 처리는 어떠한 주입기 또는 라디칼 반응기를 추가함이 없이 달성되고, 이는 추가된 요소들과 관련된 비용 및 복잡함을 감소시킨다.
도 9a는 또 다른 실시 예에 따른 반응기들의 배열이다. 이 실시 예에서, 반응기들의 두 개의 유닛들이 제공된다: 제 1 유닛(904) 및 제 2 유닛(908). 제 1 유닛(904)은 본질적으로 도 6a의 제 1 유닛과 동일하고, 그러므로 그것의 상세한 설명은 간결함을 위해 생략된다. 제 2 유닛(908)은 두 개의 라디칼 반응기들(920, 928)을 포함한다. 라디칼 반응기(920)은 O* 라디칼들을 기판(190)상에 주입한다. 라디칼 반응기(28)은 Ar* 라디칼들을 기판(910)상에 주입한다. 여분의 기체들 및 라디칼들을 배출하기 위한 배출구(924)가 두 개의 라디칼 반응기들(920, 928) 사이에 제공된다. 도 8b는 기판(910)상에 주입되는 물질들 및 기판(190)상에서 수행되는 공정들을 요약한다. 왕복움직임의 한 사이클 동안 단일 ALD 층이 기판(910)상에 형성되고 어닐링이 5회 수행된다는 점을 주목하라.
비록 도 6a 내지 9b를 참조하여 설명된 위의 실시 예들은 기판상에 Al2O3 층을 증착하는 것과 관련되지만, 동일한 원칙이 기판상에 다른 물질들을 증착하는 것에도 적용될 수 있다. 증착되는 물질을 바꾸기 위해서, 원료 전구체 및 반응 전구체가 달라질 수 있다.
그러한 방법들을 사용하여 제작된 기판은 디스플레이 장치 또는 다른 전자 장치들과 같은 다양한 응용들에 사용될 수 있다. 그러한 응용들에 따라, 다양한 유형의 기판들이 또한 사용될 수 있다. 예시 기판들은 실리콘 웨이퍼들 또는 유리들을 포함할 수 있다.
비록 본 발명이 몇가지 실시 예들과 관련하여 위에서 설명되었지만, 본 발명의 범위 내에서 다양한 변경들이 행해질 수 있다. 따라서, 본 발명의 기재된 내용은 예시로서 설명한 것일 뿐 본 발명의 범위를 한정하지 않는 것으로 의도되며, 본 발명의 범위는 다음의 청구범위에서 제시된다.

Claims (20)

  1. 기판과 하나 이상의 반응기들 사이에 제 1 방향으로의 상대적인 움직임을 야기는 단계로서, 적어도 하나의 전구체 기체와 반응 기체를 상기 기판에 주입함으로써 상기 제 1 방향으로의 상기 상대적인 움직임 동안 상기 기판상에 적어도 하나의 원자층이 증착되는, 상기 제 1 방향으로의 상대적인 움직임을 야기하는 단계; 및
    상기 기판과 상기 하나 이상의 반응기들 사이에 상기 제 1 방향과 반대인 제 2 방향으로의 상대적인 움직임을 야기하는 단계를 포함하고,
    상기 상기 기판 및 상기 하나 이상의 반응기들 사이에 상기 제 2 방향으로의 상대적인 움직임동안 어닐링이 수행되는 것을 특징으로 하는 기판상에 층을 증착하는 방법.
  2. 제 1 항에 있어서,
    미리 결정된 횟수만큼 상기 제 1 방향 및 상기 제 2 방향으로의 상기 상대적인 움직임을 반복하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 층을 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 기판 및 상기 하나 이상의 반응기들 사이에서 상기 상대적인 움직임을 야기하는 단계 이전에 서셉터 위에 상기 기판을 올리는 단계; 및
    상기 미리 결정된 횟수만큼 상기 상대적 움직임을 반복하는 단계 이후에 상기 서셉터로부터 상기 기판을 내리는 단계를 더 포함하는 것을 특징으로 하는 기판상에 층을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 기판상에 비활성 기체의 라디칼들을 주입하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 층을 증착하는 방법.
  5. 제 4 항에 있어서,
    상기 기판상에 상기 비활성 기체의 상기 라디칼을 주입하는 단계 이후에 상기 기판상에 원료 전구체를 주입하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 층을 증착하는 방법.
  6. 제 4 항에 있어서,
    상기 비활성 기체는 아르곤 기체를 포함하는 것을 특징으로 하는 기판상에 층을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 전구체 기체는 트리메틸알루미늄(Trimethylaluminium)을 포함하고, 상기 반응 기체는 산소 라디칼들을 포함하고 상기 층은 Al2O3 를 포함하는 것을 특징으로 하는 기판상에 층을 증착하는 방법.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020137011910A 2010-10-18 2011-10-14 왕복 서셉터를 갖는 증착 장치를 이용한 층의 증착 KR101511457B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39427510P 2010-10-18 2010-10-18
US61/394,275 2010-10-18
PCT/US2011/056285 WO2012054323A1 (en) 2010-10-18 2011-10-14 Deposition of layer using depositing apparatus with reciprocating susceptor

Publications (2)

Publication Number Publication Date
KR20130062374A KR20130062374A (ko) 2013-06-12
KR101511457B1 true KR101511457B1 (ko) 2015-04-10

Family

ID=45934418

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137011910A KR101511457B1 (ko) 2010-10-18 2011-10-14 왕복 서셉터를 갖는 증착 장치를 이용한 층의 증착

Country Status (4)

Country Link
US (1) US8771791B2 (ko)
KR (1) KR101511457B1 (ko)
TW (1) TWI506161B (ko)
WO (1) WO2012054323A1 (ko)

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
US20110195207A1 (en) * 2010-02-08 2011-08-11 Sungkyunkwan University Foundation For Corporate Collaboration Graphene roll-to-roll coating apparatus and graphene roll-to-roll coating method using the same
US20120125258A1 (en) * 2010-11-24 2012-05-24 Synos Technology, Inc. Extended Reactor Assembly with Multiple Sections for Performing Atomic Layer Deposition on Large Substrate
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013191471A1 (ko) * 2012-06-20 2013-12-27 주식회사 엠티에스나노테크 원자층 증착 장치 및 방법
WO2013191469A1 (ko) * 2012-06-20 2013-12-27 주식회사 엠티에스나노테크 원자층 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101482630B1 (ko) 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
KR101420333B1 (ko) 2012-11-19 2014-07-16 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
KR101538372B1 (ko) * 2012-12-13 2015-07-22 엘아이지인베니아 주식회사 원자층 증착장치
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102154707B1 (ko) * 2013-04-25 2020-09-11 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법
WO2014200815A1 (en) * 2013-06-14 2014-12-18 Veeco Ald Inc. Performing atomic layer deposition on large substrate using scanning reactors
KR101579527B1 (ko) 2013-09-16 2015-12-22 코닉이앤씨 주식회사 스캔형 반응기를 가지는 원자층 증착 장치 및 방법
KR101828928B1 (ko) * 2014-02-06 2018-02-13 비코 에이엘디 인코포레이티드 단거리 왕복 운동을 사용한 물질의 공간적 증착
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101634694B1 (ko) 2014-03-21 2016-06-29 김운태 멀티형 증착 장치 및 방법
KR101533610B1 (ko) * 2014-05-02 2015-07-06 주식회사 테스 박막증착장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10981193B2 (en) * 2017-04-05 2021-04-20 Nova Engineering Films, Inc. Depositing of material by spraying precursor using supercritical fluid
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
KR20040102092A (ko) * 2002-04-19 2004-12-03 맷슨 테크놀로지, 인크. 저증기압 가스 전구체를 이용하여 기판 상에 막을증착하기 위한 시스템
US20060240665A1 (en) 2002-07-17 2006-10-26 Sang-Bom Kang Methods of producing integrated circuit devices utilizing tantalum amine derivatives

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3896244A (en) 1971-11-17 1975-07-22 Chromalloy American Corp Method of producing plasma sprayed titanium carbide tool steel coatings
JPS61168922A (ja) 1985-01-17 1986-07-30 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション プラズマ・エツチング装置
JPS62274080A (ja) 1986-05-21 1987-11-28 Hitachi Ltd プラズマ処理方法
US4891247A (en) 1986-09-15 1990-01-02 Watkins-Johnson Company Process for borosilicate glass films for multilevel metallization structures in semiconductor devices
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
GB8713986D0 (en) 1987-06-16 1987-07-22 Shell Int Research Apparatus for plasma surface treating
JPH01223724A (ja) 1988-03-02 1989-09-06 Mitsubishi Electric Corp 化学気相成長装置
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
FR2672518B1 (fr) 1991-02-13 1995-05-05 Saint Gobain Vitrage Int Buse a alimentation dissymetrique pour la formation d'une couche de revetement sur un ruban de verre, par pyrolyse d'un melange gazeux.
US5565249A (en) 1992-05-07 1996-10-15 Fujitsu Limited Method for producing diamond by a DC plasma jet
KR960000190B1 (ko) 1992-11-09 1996-01-03 엘지전자주식회사 반도체 제조방법 및 그 장치
US5863337A (en) 1993-02-16 1999-01-26 Ppg Industries, Inc. Apparatus for coating a moving glass substrate
JP3107971B2 (ja) 1994-05-17 2000-11-13 株式会社半導体エネルギー研究所 気相反応装置
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FR2736632B1 (fr) 1995-07-12 1997-10-24 Saint Gobain Vitrage Vitrage muni d'une couche conductrice et/ou bas-emissive
JP3598602B2 (ja) 1995-08-07 2004-12-08 セイコーエプソン株式会社 プラズマエッチング方法、液晶表示パネルの製造方法、及びプラズマエッチング装置
JP3295310B2 (ja) 1995-08-08 2002-06-24 三洋電機株式会社 回転電極を用いた高速成膜方法及びその装置
JP3901252B2 (ja) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5951771A (en) 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US6099974A (en) 1997-07-16 2000-08-08 Thermal Spray Technologies, Inc. Coating that enables soldering to non-solderable surfaces
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
JP4644324B2 (ja) 1998-09-07 2011-03-02 ズルツァー マーケッツ アンド テクノロジー アクチェンゲゼルシャフト 断熱被覆の製造のための高温噴霧方法の使用
US6406590B1 (en) 1998-09-08 2002-06-18 Sharp Kaubushiki Kaisha Method and apparatus for surface treatment using plasma
US6424091B1 (en) 1998-10-26 2002-07-23 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method performed by use of the same apparatus
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US20020092616A1 (en) 1999-06-23 2002-07-18 Seong I. Kim Apparatus for plasma treatment using capillary electrode discharge plasma shower
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP3476409B2 (ja) 2000-02-25 2003-12-10 Necエレクトロニクス株式会社 プラズマcvd装置
US6987238B2 (en) 2000-03-31 2006-01-17 Thermal Dynamics Corporation Plasma arc torch and method for improved life of plasma arc torch consumable parts
JP2001357780A (ja) 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマディスプレイパネル製造方法および製造装置
KR100673211B1 (ko) 2000-06-30 2007-01-22 주식회사 하이닉스반도체 샤워헤드
JP2002018276A (ja) 2000-07-10 2002-01-22 Pearl Kogyo Kk 大気圧プラズマ処理装置
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6397776B1 (en) 2001-06-11 2002-06-04 General Electric Company Apparatus for large area chemical vapor deposition using multiple expanding thermal plasma generators
JP2003049272A (ja) 2001-08-07 2003-02-21 Konica Corp 大気圧プラズマ処理装置、大気圧プラズマ処理方法及び大気圧プラズマ処理装置用の電極システム
JP2003073835A (ja) 2001-08-28 2003-03-12 Tdk Corp プラズマcvd装置およびプラズマcvd膜の形成方法
JP2003174019A (ja) 2001-12-05 2003-06-20 Sumitomo Precision Prod Co Ltd オゾン処理装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
JP4158139B2 (ja) 2002-04-30 2008-10-01 スズキ株式会社 薄膜の製造方法およびその装置
JP2003332426A (ja) 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP2004010949A (ja) 2002-06-05 2004-01-15 Seiko Epson Corp 成膜装置および成膜方法
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
JP2004091837A (ja) 2002-08-30 2004-03-25 Konica Minolta Holdings Inc 製膜処理装置
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
EP1475824A4 (en) 2002-10-07 2006-11-15 Sekisui Chemical Co Ltd PLASMA FILM FORMATION SYSTEM
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US9725805B2 (en) 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP2005089781A (ja) 2003-09-12 2005-04-07 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
KR20050028980A (ko) 2003-09-19 2005-03-24 한국전자통신연구원 무기 박막 전계 발광 소자 및 그 제조 방법
US7032808B2 (en) 2003-10-06 2006-04-25 Outokumu Oyj Thermal spray application of brazing material for manufacture of heat transfer devices
JP4268852B2 (ja) 2003-10-09 2009-05-27 積水化学工業株式会社 プラズマ処理装置
EP1686092A4 (en) 2003-11-17 2012-03-07 Konica Minolta Holdings Inc PROCESS FOR PRODUCING NANOSTRUCTURED CARBON MATERIAL, NANOSTRUCTURED CARBON MATERIAL PRODUCED THEREBY, AND SUBSTRATE COMPRISING NANOSTRUCTURED CARBON MATERIAL OF THIS TYPE
US7605328B2 (en) 2004-02-19 2009-10-20 Nanosolar, Inc. Photovoltaic thin-film cell produced from metallic blend using high-temperature printing
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
KR101042959B1 (ko) 2004-06-03 2011-06-20 삼성에스디아이 주식회사 태양전지 및 그 제조방법
CN101023714B (zh) 2004-09-29 2010-09-29 积水化学工业株式会社 等离子加工设备
US7399668B2 (en) 2004-09-30 2008-07-15 3M Innovative Properties Company Method for making electronic devices having a dielectric layer surface treatment
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
JP2006236697A (ja) 2005-02-23 2006-09-07 Mitsui Chemicals Inc 放電プラズマ形成用電極
KR100631972B1 (ko) 2005-02-28 2006-10-11 삼성전기주식회사 화학기상증착 공정을 이용한 초격자 반도체 구조를 제조하는 방법
US8974868B2 (en) 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
JP4803548B2 (ja) 2005-06-08 2011-10-26 地方独立行政法人 大阪市立工業研究所 酸化物薄膜太陽電池
US8328982B1 (en) 2005-09-16 2012-12-11 Surfx Technologies Llc Low-temperature, converging, reactive gas source and method of use
US7754906B2 (en) 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7410915B2 (en) 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
WO2007112370A1 (en) * 2006-03-26 2007-10-04 Lotus Applied Technology, Llc Atomic layer deposition system and method for coating flexible substrates
JP5101029B2 (ja) 2006-03-27 2012-12-19 三菱重工業株式会社 光電変換素子製造装置および光電変換素子製造方法
US8097300B2 (en) 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US8017860B2 (en) 2006-05-15 2011-09-13 Stion Corporation Method and structure for thin film photovoltaic materials using bulk semiconductor materials
US9105776B2 (en) 2006-05-15 2015-08-11 Stion Corporation Method and structure for thin film photovoltaic materials using semiconductor materials
US20070281082A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US20080260963A1 (en) 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
JP2008108895A (ja) 2006-10-25 2008-05-08 Sekisui Chem Co Ltd 表面処理方法及び装置
TWI318417B (en) 2006-11-03 2009-12-11 Ind Tech Res Inst Hollow-type cathode electricity discharging apparatus
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080241387A1 (en) 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US8471170B2 (en) 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
EP2188413B1 (en) 2007-09-07 2018-07-11 Fujifilm Manufacturing Europe B.V. Method for atomic layer deposition using an atmospheric pressure glow discharge plasma
TWI440405B (zh) 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
JP4582140B2 (ja) 2007-11-22 2010-11-17 セイコーエプソン株式会社 基板の表面処理方法
JP4611414B2 (ja) 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
KR20090122727A (ko) * 2008-05-26 2009-12-01 삼성전자주식회사 원자층 증착 장치와 이를 이용한 원자층 증착 방법
US7943527B2 (en) 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
JP2011522381A (ja) 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション プラズマに基づく化学源装置およびその使用方法
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20100037824A1 (en) 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
CN103201408A (zh) 2010-11-05 2013-07-10 思诺斯技术公司 具有多个等离子体室的游离基反应器
US20120125258A1 (en) 2010-11-24 2012-05-24 Synos Technology, Inc. Extended Reactor Assembly with Multiple Sections for Performing Atomic Layer Deposition on Large Substrate
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR20040102092A (ko) * 2002-04-19 2004-12-03 맷슨 테크놀로지, 인크. 저증기압 가스 전구체를 이용하여 기판 상에 막을증착하기 위한 시스템
US20060240665A1 (en) 2002-07-17 2006-10-26 Sang-Bom Kang Methods of producing integrated circuit devices utilizing tantalum amine derivatives

Also Published As

Publication number Publication date
WO2012054323A1 (en) 2012-04-26
KR20130062374A (ko) 2013-06-12
US20120094149A1 (en) 2012-04-19
TW201229298A (en) 2012-07-16
TWI506161B (zh) 2015-11-01
US8771791B2 (en) 2014-07-08

Similar Documents

Publication Publication Date Title
KR101511457B1 (ko) 왕복 서셉터를 갖는 증착 장치를 이용한 층의 증착
KR101538874B1 (ko) 대형 기판상에 원자층 증착을 수행하기 위한 다중 섹션을 구비한 연장된 반응기 조립체
JP7090118B2 (ja) 空間的原子層堆積法による、自己整合ダブルパターニング
US9556514B2 (en) Spatial deposition of material using short-distance reciprocating motions
US20120114877A1 (en) Radical Reactor with Multiple Plasma Chambers
US8840958B2 (en) Combined injection module for sequentially injecting source precursor and reactant precursor
KR101114219B1 (ko) 광원을 포함하는 원자층 증착장치 및 이를 이용한 증착방법
US9129913B2 (en) Formation of barrier layer on device using atomic layer deposition
KR20200056273A (ko) 기판처리장치 및 기판처리방법
KR20200003760A (ko) 박막형성방법
KR101163610B1 (ko) 원자층 증착장치 및 방법
KR20110115992A (ko) 광원을 포함하는 원자층 증착장치 및 이를 이용한 증착방법
KR101379349B1 (ko) 기상 증착 방법 및 장치
TW202403832A (zh) 基板處理方法
KR20190029396A (ko) 박막형성방법
CN115885060A (zh) 基板处理方法
KR20190032142A (ko) 박막형성방법
KR20070007532A (ko) 박막 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee