KR101264395B1 - 반도체 처리 방법 - Google Patents

반도체 처리 방법 Download PDF

Info

Publication number
KR101264395B1
KR101264395B1 KR1020060098173A KR20060098173A KR101264395B1 KR 101264395 B1 KR101264395 B1 KR 101264395B1 KR 1020060098173 A KR1020060098173 A KR 1020060098173A KR 20060098173 A KR20060098173 A KR 20060098173A KR 101264395 B1 KR101264395 B1 KR 101264395B1
Authority
KR
South Korea
Prior art keywords
wafer
silicon carbide
chemical vapor
support object
wafer support
Prior art date
Application number
KR1020060098173A
Other languages
English (en)
Other versions
KR20070038935A (ko
Inventor
지텐드라 에스. 고엘라
마이클 에이. 픽커링
제임스 티. 파헤이
멜린다 에스. 스트릭클랜드
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Publication of KR20070038935A publication Critical patent/KR20070038935A/ko
Application granted granted Critical
Publication of KR101264395B1 publication Critical patent/KR101264395B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67306Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by a material, a roughness, a coating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

반도체 처리 방법 및 장치가 개시된다. 반도체 설비 및 처리 방법은 감소된 결함을 갖는 반도체 웨이퍼를 제공한다.
반도체 웨이퍼, 표면 거칠기

Description

반도체 처리 방법{Semiconductor processing}
도 1은 서스셉터 링을 도시한 부분 단면처리된 사시도.
도 2는 도 1의 서스셉터와 링의 횡단면도.
도 3은 서스셉터의 라운드된 에지의 횡단면도.
도 4는 가공되고 랩핑된 실리콘 카바이드 표면의 30배 확대 사진.
본 발명은 감소된 결함을 갖는 반도체 웨이퍼를 제공하기 위한 반도체 웨이퍼 처리 방법에 관한 것이다. 특히, 본 발명은 감소된 웨이퍼 거칠기를 갖는 웨이퍼 지지 물체 내에서 처리된 감소된 결함을 갖는 반도체 웨이퍼를 제공하기 위한 반도체 웨이퍼 처리 방법에 관한 것이다.
반도체 웨이퍼 처리와 관련된 문제점들 중 하나는 웨이퍼가 일반적으로 슬립(slip)으로 알려진 결함을 형성한다는 것이다. 슬립은 단결정 부분들이 결정학적 평면을 따라 서로에 대하여 이동할 때 형성된 결정학적 평면을 따른 분자의 변위이다. 서스셉터(suscetpor)와 웨이퍼 사이에 최적의 접촉보다 작은 접촉이 있을 때 이러한 이동이 발생할 수 있다. 일반적으로, 슬립은 서스셉터 표면과 접촉 상태에 있는 웨이퍼의 후면 상에서 시작되며, 이후 후속 공정 동안에 웨이퍼를 통하여 전파된다. 슬립의 많은 원인이 있을 수 있으나, 기본적인 문제는 표면에서의 좁은 면적(날카로운 점) 접촉이며, 이는 웨이퍼가 표면 상에 위치할 때 또는 표면 상에서 이동할 때 큰 집중 응력을 야기한다. 따라서, 날카로운 물체 또는 돌기들이 없는 표면을 생산하는 것이 중요하며, 또한 만곡진 에지(rounded edge)를 제공하는 것이 중요하다. 최적 접촉보다 작은 접촉은 가끔 서스셉터의 재료인 세라믹 재료의 거친 표면 형상(topogrpahy)으로 인하여 나타난다. 필름 형성 공정 동안 웨이퍼가 불균일하게 열처리되거나 또는 냉각될 때 이 이동은 또한 발생할 수 있으며, 특히 웨이퍼의 상단면과 바닥면 사이 그리고 열이 빠르게 방산되는 외측 에지에서 이 이동이 발생한다. 이후 큰 온도 구배가 존재하는 웨이퍼의 부분들에서 열 응력이 생성되며, 결정의 부분들이 이동하여 이러한 응력을 방출할 때 슬립을 야기한다. 반도체 소자를 제조하기 위하여 사용될 때 슬립 결함을 갖는 웨이퍼는 바람직하지 않은 수율 감소를 유도할 수 있다.
웨이퍼와 웨이퍼 서스셉터 사이의 이상적인 접촉을 유지함으로써, 웨이퍼를 매우 느리게 가열함으로써 또는 일반적인 웨이퍼보다 웨이퍼의 직경을 더욱 두껍게 또는 더욱 얇게 함으로써 결정학적 슬립은 어느 정도까지 감소될 수 있다. 그러나, 이러한 기술을 제조 환경에서 실시하는 것과 이용하는 것은 어렵다.
슬립의 문제를 처리하기 위한 하나의 시도가 카르보나르 등으로 양도된 미국특허 제6,099,650호에 개시되어 있다. 카르보나르는 화학 기상 증착 반응기 내에서 서스셉터 내에 고정된 웨이퍼 상에 커버를 위치시킴으로써 슬립의 문제를 처리하였다. 카르보나르의 기술에 따르면, 커버는 열 베리어(barrier)로서 작용하여 서스셉터로부터의 열을 웨이퍼 상으로 방사 및 반사시킨다. 알려진 바에 의하면 이는 열이 웨이퍼로부터 방사되는 것을 방지하며, 웨이퍼를 가로지르는 온도 구배를 감소시키며, 그로 인하여 에피텍셜 층(epitaxial layer)의 형성 동안 그리고 형성 후에 웨이퍼 내의 슬립이 감소 또는 제거된다. 커버는 서스셉터와 동일한 형상 및 직경을 가지며, 0.6cm 내지 2.54cm 두께의 실리콘 카바이드 코팅을 갖는 흑연으로 구성된다. 알려진 바에 의하면, 두께를 변화시킴으로써 커버로부터 방사된 열의 양을 조절할 수 있다. 커버는 웨이퍼 위에 0.6cm 내지 5cm 떨어져 있다. 알려진 바에 의하면, 거리를 조정함으로써 웨이퍼의 배면 상에서 반사된 열의 양을 조절할 수 있다. 이는 웨이퍼의 상부에서 열을 증가시키는 것으로 생각된다.
슬립에 더하여, 반도체 처리와 관련된 다른 문제점들은 스틱션(sticktion)과 플로트(float)이다. 스틱션은 서스셉터 또는 다른 웨이퍼 지지 장치에 웨이퍼가 붙는 현상이다. 스틱션을 양적으로 측정하는 것은 어려우나, 웨이퍼가 서스셉터에서 들려질 때 이를 정량적으로 인지할 수 있다. 웨이퍼에 대한 응력 손상을 가하는 스틱킹(sticking)을 체험한다면, 그 후 스틱션은 존재한다. 응력 손상은 웨이퍼 내의 결정 포인트 손상, 라인 결함 및 균열에 의하여 관측될 수 있다. 웨이퍼가 서스셉터 표면 상의 다수의 고점 상에서 지지되고 가두어진 가스가 서스셉터 표면과 웨이퍼 사이를 통과할 때 플로트가 발생하며, 이는 웨이퍼를 서스셉터에 대하여 이동시킨다. 이상적으로, 반도체 웨이퍼 처리 동안에 스틱션과 플로트는 0(zero)이며, 지지 장치 내에서 웨이퍼는 이동이 없는 상태를 유지한다. 따라서, 위에서 논의된 문제를 처리하는 개선된 방법을 위한 요구가 있다.
본 발명의 한 태양에서, 3 마이크론보다 작거나 동일한 Ra의 표면 및 15 마이크론보다 작거나 동일한 Rz(din)를 갖는 웨이퍼 지지 물체를 제공하기 위하여 웨이퍼 지지 물체의 하나 이상의 표면을 변형하는 단계; 웨이퍼 지지 물체 내에 하나 이상의 반도체 웨이퍼를 위치시키는 단계; 3 마이크론보다 작거나 동일한 Ra의 표면 및 15 마이크론보다 작거나 동일한 Rz(din)를 갖는 웨이퍼 지지 물체를 하나 이상의 반도체 웨이퍼와 함께 공정 챔버 내에 위치시키는 단계; 및 하나 이상의 반도체 웨이퍼를 변형하기 위하여 하나 이상의 반도체 웨이퍼를 처리하여 50개보다 적은 또는 50개의 슬립 결함을 갖는 변형된 반도체 웨이퍼를 형성하는 단계를 포함하는 방법이 제공된다.
본 발명의 다른 태양에서, 0.05mm 이상의 에지 반경, 3 마이크론보다 작거나 동일한 Ra 및 15 마이크론보다 작거나 동일한 Rz(din)를 갖는 웨이퍼 지지 물체를 제공하기 위하여 웨이퍼 지지 물체의 하나 이상의 표면을 변형하는 단계; 변형된 웨이퍼 지지 물체 내에 하나 이상의 반도체 웨이퍼를 위치시키는 단계; 0.05mm 이상의 에지 반경, 3 마이크론보다 작거나 동일한 Ra 및 15 마이크론보다 작거나 동일한 Rz(din)를 갖는 변형된 웨이퍼 지지 물체를 하나 이상의 반도체 웨이퍼와 함께 공정 챔버 내에 위치시키는 단계; 및 하나 이상의 반도체 웨이퍼를 변형하기 위하여 하나 이상의 반도체 웨이퍼를 처리하여 50개보다 적은 또는 50개의 슬립 결함을 갖는 변형된 반도체 웨이퍼를 형성하는 단계를 포함하는 방법을 제공한다.
다른 태양에서, 3 마이크론 보다 작거나 동일한 Ra 및 15 마이크론 보다 작거나 동일한 Rz(din)을 갖는 웨이퍼 지지 물체가 제공된다. 웨이퍼 지지 물체는 또한 0.05 mm 이상의 에지 반경을 가질 수 있다.
본 발명의 또 다른 태양은, a) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면 상에 실리콘 디옥사이드층을 형성하고, 150 내지 250 시간 동안 1000 내지 1500℃의 온도로 산소 분위기에서 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 가열하고, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면으로부터 실리콘 디옥사이드층을 스트리핑함으로써, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면을 변형시켜 3 마이크론 이하의 Ra 및 15 마이크론 이하의 Rz(din)를 갖는 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 제공하는 단계; b) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체 내에 하나 이상의 반도체 웨이퍼를 위치시키는 단계; c) 하나 이상의 반도체 웨이퍼와 함께 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 공정 챔버 내에 위치시키는 단계; 및 d) 하나 이상의 반도체 웨이퍼를 처리하여 변형시켜 50개 이하의 슬립 결함을 갖는 변형된 반도체 웨이퍼를 형성하는 단계를 포함하는 것을 특징으로 하는 방법이다.
또한, 본 발명의 또 하나의 다른 태양은, a) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면 상에 실리콘 디옥사이드층을 형성하고, 150 내지 250 시간 동안 1000 내지 1500℃의 온도로 산소 분위기에서 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 가열하고, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면으로부터 실리콘 디옥사이드층을 스트리핑함으로써, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 표면을 변형시켜 0.05 mm 이상의 에지 반경, 3 마이크론 이하의 Ra 및 15 마이크론 이하의 Rz(din)를 갖는 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 제공하는 단계; b) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체 내에 하나 이상의 반도체 웨이퍼를 위치시키는 단계; c) 하나 이상의 반도체 웨이퍼와 함께 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 공정 챔버 내에 위치시키는 단계; 및 d) 하나 이상의 반도체 웨이퍼를 처리하여 변형시켜 50개 이하의 슬립 결함을 갖는 변형된 반도체 웨이퍼를 형성하는 단계를 포함하는 것을 특징으로 하는 방법이다.
슬립의 제거 및 감소에 더하여, 본 발명은 스틱션과 플로트 사이의 불균형을 감소 또는 제거한다.
본 명세서 전체에 걸쳐 사용된 바와 같은 다음의 약어들은 문맥이 달리 나타내지 않는 한 다음의 의미를 갖는다: ℃=섭씨 온도; ㎛=마이크론=마이크로미터; m=미터; cm=센티미터; mm=밀리미터; nm=나노미터; A=암페어; mA=밀리암페어; CVD=화학 기상 증착; PVD= 물리 기상 증착; ml=밀리리터; min=분; sec=초; msec=밀리초; hr=시간; Hz=헤르츠; kHz=킬로헤르츠; W=와트=1 주울/초; erg=1 dyne cm=10-7 주울; mV=밀리볼트; 1 대기압=760 토르; 1 대기압=1.01325X106 dyne/cm2; psi=1 평방 인치당 파운드; 1 대기압=14.7 psi; rpm=분당 회전수; Å=옹스트롱=1X10-4 마이크론; RMS=제곱 평균(root means square); 및 SEM=스캔닝 전자 현미경
달리 지시되지 않는 한, 백분율은 중량 퍼센트이다. 수치 범위가 100%까지 더해지기 위하여 강요되는 논리적인 경우를 제외하고는 모든 수치 범위는 포괄적이며 어떠한 순서로 결합 가능하다.
본 방법은 3 마이크론보다 작거나 동일한 Ra의 표면 및 15 마이크론보다 작거나 동일한 Rz(din)를 갖는 웨이퍼 지지 물체를 제공하기 위하여 웨이퍼 지지 물체의 하나 이상의 표면을 변형하는 단계; 웨이퍼 지지 물체 내에 하나 이상의 반도체 웨이퍼를 위치시키는 단계; 3 마이크론보다 작거나 동일한 Ra의 표면 및 15 마이크론보다 작거나 동일한 Rz(din)를 갖는 웨이퍼 지지 물체를 하나 이상의 반도체 웨이퍼와 함께 공정 챔버 내에 위치시키는 단계; 하나 이상의 반도체 웨이퍼를 변형하기 위하여 및 하나 이상의 반도체 웨이퍼를 처리하여 50개보다 적은 또는 50개의 슬립 결함을 갖는 변형된 반도체 웨이퍼를 형성하는 단계를 포함한다.
감소된 슬립 결함을 제공하는 것에 더하여, 3 마이크론보다 작거나 동일한 Ra의 표면 및 15 마이크론보다 작거나 동일한 Rz(din)을 갖는 웨이퍼 지지 장치 내에서의 반도체 처리는 스틱션과 플로트 사이의 불균형을 감소 또는 제거한다.
표면 거칠기는 표면 조직의 미세 불규칙으로 이루어지며, Ra와 Rz(din)를 포함한다. "Ra"는 특정 평가 길이(평균 거칠기) 내에 기록된 중간선으로부터 프로필(피크) 높이 편차의 절대값의 산술 평균을 나타낸다. Ra는 3 마이크론 이하의 범위이며, 또는 0.1 마이크론 내지 0.001 마이크론과 같은 범위 또는 0.01 마이크론 내지 0.005 마이크론과 같은 범위이다. Rz(din) 값은 측정 길이 내의 어떠한 5개의 연속적인 표본을 추출한 길이의 평균 최대 피크-대-골(peak-to-valley) 높이이다. 피크-대-골 거리는 표면 내에서의 표면 구조의 높이와 골 사이의 간격이다. "피크"는 중심선 위에 놓인 프로필의 주어진 부분 상에서의 최대 높이의 점이다. "골"은 중심선 아래에 놓인 프로필의 주어진 부분 상에서의 최대 깊이의 점이다. Rz(din)은 15 마이크론 이하의 범위이며, 또는 1 마이크론 내지 0.005 마이크론과 같은 범위 또는 3 마이크론 내지 0.05 마이크론과 같은 범위이다.
표면의 거칠기를 측정하기 위하여 다양한 방법들이 사용될 수 있다. 적절한 한 방법이 자동 공분산 함수(autocovariance functioin)이다. 이차원적인 자동 공분산 함수는 방향과 거리의 함수이며, 한 방향으로 특정 길이에 의하여 분리된 모든 2-포인트 쌍의 높이 물체의 기대 값을 나타낸다. 다시 말해, 자동 공분산 함수는 특징적인 특성 길이, 즉 특정 높이를 갖는 2개의 피크 사이의 길이를 설명한다. 표면 지형의 결정에 대한 자동 공분산 함수의 응용의 설명을 위하여, 키엘리 등의 1997년 7월/8월의 베큠 사이언스 테크놀로지 저널 B 15권 제 4의 1483면 내지 1493면의 "스캔닝 프로브 마이크로스코피에 의한 지형 구조의 정량"을 참고한다. 거칠기 인자 및 이들 인자의 값 결정 방법의 다른 설명이 2003년 어메리칸 소사이어티 오브 메카니컬 엔지니어의 스탠다드 ASME B46.1-2002, 표면 구조(표면 거칠기, 웨빈스 및 레이)에 제공되어 있다. 일반적으로, 표면 구조의 방향성 표면 지형은 원자력 분광기(AFM; atomic force spectroscopy) 또는 광학 프로필러미터(profilermeter)를 사용함으로써 결정된다.
웨이퍼 지지 물체는 또한 0.05 밀리미터 이상, 또는 0.1 밀리미터 내지 1 밀리미터의 에지 반경을 갖는 웨이퍼 지지 에지를 가질 수 있다. 반경은 원의 1/4로부터 측정되며, 이는 본 기술 분야에서 사용된 일반적인 방법이다. 프로필러미터는 반경을 측정하기 위하여 사용될 수 있으며, 또는 이 측정은 현미경을 이용하여 실행될 수 있다. 0.05 밀리미터 이상의 에지 반경은 또한 결정 포인트 결함, 라인 결함뿐만 아니라 응력에 의하여 야기된 웨이퍼 내에 균열을 감소 또는 제거한다.
웨이퍼 지지 물체를 만들기 위하여 어떠한 적절한 세라믹이 이용될 수 있다. 일반적으로, 웨이퍼 지지 물체를 만들기 위하여 사용된 재료는 실리콘 카바이드이다. 실리콘 카바이드는 열 전도도, 전기 저항성, 밀도 및 결정 구조와 같은 다른 그리고 독특한 특성을 갖는 실리콘 카바이드를 생성하는 많은 다른 방법에 의하여 제조될 수 있다. 실리콘 카바이드는 단결정 또는 다결정일 수 있다. 실리콘 카바이드는, 제한되지는 않지만, 화학 기상 증착된 실리콘 카바이드, 물리 기상 증착된 실리콘 카바이드, 반응 결합된 실리콘 카바이드, 소결된 실리콘 카바이드, 고온 가압된 실리콘 카바이드 및 발포된 실리콘 카바이드를 포함한다. 일반적으로, 실리콘 카바이드는 CVD 실리콘 카바이드, PVD 실리콘 카바이드, 소결된 실리콘 카바이드 및 고온 가압된 실리콘 카바이드에서 선택된다. 더욱 일반적으로, 실리콘 카바이드는 CVD 실리콘 카바이드와 PVD 실리콘 카바이드에서 선택된다. 가장 일반적으로는 실리콘 카바이드는 CVD 실리콘 카바이드이다. CVD 실리콘 카바이드는 일반적으로 베타-큐빅(β-cubic) 실리콘 카바이드이다.
본 방법이 원하는 표면 거칠기 및 에지 반경을 제공하는 한, 세라믹 물체의 표면을 변형시키기 위하여 어떠한 적절한 방법이 사용될 수 있다. 제한되지는 않지만, 이러한 방법은 가공, 랩핑(lapping), 연마, 그라인딩, 그리트 블라스팅, 카본 디옥사이드 블라스팅, 산과 염기를 이용한 습식 에칭 또는 플라즈마 에칭과 같은 에칭, 강력한 절삭(energetic ablation)과 같은 절삭, 산화, 전기 방전 가공, 직접 CVD, 또는 CVD, PVD 및 에너지 원조 CVD와 PVD에 의한 것과 같은 물체 상의 코팅 형성을 포함한다. 이러한 코팅은, 제한되지는 않지만, 실리콘 나이트라이드, 실리콘, 실리콘 카바이드, 실리콘 디옥사이드 또는 카본을 포함한다. 위에서 언급한 방법의 조합은 또한 원하는 표면을 제공하기 위하여 사용될 수 있다. 일반적으로, 실리콘 카바이드 웨이퍼 지지 물체의 표면은 랩핑, 연마, 또는 산화에 의하여 매끄럽다. 보다 일반적으로, 실리콘 카바이드 웨이퍼 지지 물체의 표면은 산화에 의하여매끄러워져 뒤이은 산을 이용한 스트립핑(stripping)에 의하여 실리콘 카바이드 상에 실리콘 디옥사이드층이 형성된다.
일반적으로, 세라믹 물체가 먼저 가공된다. 일반적으로, 표면 구조가 CVD 또는 PVD에 의하여 형성된 후 세라믹 물체의 원하지 않은 표면 구조를 육안적으로 제거하며, 그들의 원하는 기능을 위하여 물체를 형상화한다. 일반적인 가공 공정이 사용될 수 있다. 제한되지는 않지만, 이러한 공정은 그라인딩, 랩핑, 호닝(honing), 초음파 가공, 물 분사 또는 연마 가공, 레이저 가공, 전기 방전 가공, 이온 빔 가공, 전자 빔 가공, 화학 가공 및 전기화학 가공을 포함한다.
원하는 Ra 및 Rz(din) 뿐만 아니라 원하는 에지 반경을 제공하기 위하여 표면을 가공 및 매끄럽게 하는 공정이 결합될 수 있다. 습식 에칭, 랩핑, 연마, 그라인딩, 전기 방전, 레이저 절삭(laser ablaton), 이-빔 절삭, X-레이 절삭, 초음파 절삭 및 방사 에너지 절삭과 같은 표면을 매끄럽게 하는 방법들이 가공 방법과 결합될 있다. 표면 거칠어짐 방법(surface roughening methods)이 가공 공정과 결합될 때, 가공 및 원하는 표면을 제공하기 위하여 표면을 거칠게 하는 가공 및 방법의 공정 인자를 변형하기 위하여 소수의 실험이 실행될 수 있다.
가공 후에 CVD가 사용된다. CVD에 의하여 형성될 수 있는 세라믹 재료는, 제한되지는 않지만, 실리콘 카바이드, 실리콘 나이트라이드, 합성 다이아몬드, 카본, 티타늄 보라이드, 티타늄 카바이드, 보론 카바이드, 보론 나이트라이드, 카본 나이트라이드를 포함한다. 일반적인 증착 챔버 및 반응 전구체가 사용될 수 있다. 증착 공정은 아르곤, 헬륨, 네온, 크립톤, 크세논, 질소 및 그의 혼합물과 같은 불활성 가스 분위기(atomosphere) 내에서 수행된다. 증착 동안의 이러한 불활성 가스의 유속은 일반적이다. 전구체의 증착 속도는 5 마이크론/분 보다 작거나, 0.005 마이크론/분 내지 1 마이크론/분과 같은 범위이다. 이러한 증착 속도는 증착 챔버 온도, 압력 및 전구체의 유속에 좌우된다. 이러한 인자는 증착 공정 중에 조절될 수 있어 원하는 증착 속도를 얻는다. 예를 들어, 증착 챔버 온도는 1200℃ 내지 1700℃ 범위 또는 1250℃ 내지 1600℃와 같은 범위일 수 있다. 증착 압력은 100 torr 내지 400 torr 범위 또는 125 torr 내지 350 torr와 같은 범위 또는 150 torr 내지 250 torr 범위이다. 일반적인 전구체 유속이 사용될 수 있으며, 원하는 증착 속도를 얻기 위하여 증착 동안에 전구체 유속은 변화될 수 있다.
세라믹 표면을 변형하기 위하여 강력한 절삭은 방사 에너지, 또는 전자기 파 또는 입자의 빔을 이용한다. 방사 에너지 절삭 또는 열처리는 일반적으로 일반적인 진공 챔버 내에서 진행된다. 세라믹 물체 주변에 진공이 천천히 생성된다. 압력은 10-4 내지 10-10 torr, 또는 10-6 내지 10-8 torr 범위이다. 압력은 일정하게 유지되는 반면에 세라믹은 그 후 1000℃ 내지 2000℃ 또는 1200℃ 내지 1500℃의 온도까지 상승한다. 세라믹 물체는 일정한 온도 및 압력에서 2 내지 10시간, 또는 4 내지 8시간 유지된다. 그후, 세라믹은 천천히 냉각되며 대기압으로되어 챔버로부터 제거된다. 냉각 및 압력 감소는 5 분 내지 60분 또는 10 내지 30분 주기 동안 실시되며, 챔버로부터 세라믹을 제거하여 상온(room temperature)에서 6시간 내지 48시간, 또는 12 시간 내지 24시간 냉각한다.
제한되지는 않지만, 전자기파 또는 입자는 프로톤, 뉴트론, 일렉트론, X-레이, (고밀도 광학 방사) 레이저, 전기 아크(electric arc)로 알려진 전기 방전 가공(EDM) 및 마이크로파를 포함한다. 표면을 변경하기 위하여 사용된 장치는 일반적으로 에너지의 특정 빔을 세라믹의 표면 상에서 제어하거나 초점을 맞추는 수단을 포함한다. 빔을 제어하고 초점을 맞추기 위하여 사용된 특정 수단은 사용된 특정 형태의 전자기 방사에 좌우된다. 이러한 장치는 본 기술 분야에서 잘 알려져 있다.
일반적으로, 전자기 빔이 전자 빔, 이온 빔 또는 전기 아크에 의하여 생성되는 경우, 전류가 물체으로 흐른다. 전류는 5 mA 내지 100 mA 범위, 또는 10 mA 내지 80 mA 범위이다. 전자기 빔에 의하여 분배된 에너지는 동력 밀도(power densitiy)의 항목으로 규정될 수 있으며, 이는 세라믹의 평균 동력 표면이다. 빔이 향하는 물체의 표면 상의 포인트에서의 평균 동력 밀도는 1000W/cm2 내지 5000W/cm2 범위, 또는 2000W/cm2 내지 4000W/cm2 범위일 수 있다. 주어진 동력 세팅(power setting)에서 빔이 그 최대 초점(즉, 가장 작은 스폿 규격)인 경우 피크 동력 밀도는 공정 세팅으로 정의될 수 있다. 전자기 빔을 위한 체류 시간은 0.1 밀리초 내지 5 밀리초 또는 0.5 밀리초 내지 2밀리초 범위일 수 있다.
습식 에칭과 같은 화학 처리는 세라믹 표면을 변형하기 위하여 산과 염기의 사용을 포함할 수 있다. 제한되지는 않지만, 이러한 산은 황산, 질산, 하이드로클로오릭산, 하이드로플루오릭산 및 그 조합과 같은 수성 산 용액일 수 있다. 사용된 산의 농도는 20 중량% 내지 80중량% 또는 65 중량% 내지 70 중량% 범위이다. 2가지 이상의 산이 서로 혼합될 때, 각각 동일한 양이 사용된다. 에칭은 30분 내지 240분, 또는 60분 내지 180분 동안 실행된다. 에칭은 20℃ 내지 100℃ 또는 30℃ 내지 85℃의 온도에서 실행된다.
제한되지는 않지만, 염기는 포타슘 하이드록사이드, 소듐 하이드록사이드, 암모니아 하이드록사이드 및 그 조합을 포함한다. 농축된 형태의 염기가 사용된다. 일반적으로, 물체 표면을 에칭하기 위하여 용융된 염기가 사용된다. 용융된 염기를 이용한 에칭은 500℃ 내지 1000℃의 온도를 포함한다.
건식 에칭 또는 플라즈마 에칭은 반응성 가스류를 에너지화하고 분리하기 위하여 플라즈마를 발생하는 것을 포함하며, 반응성 가스류는 세라믹의 표면과 반응하고 세라믹 표면으로부터 재료를 제거한다. 플라즈마 방전은 에너지를 저압 가스 내로 이동함으로써 생성된다. 건식 에칭은 1000℃ 내지 5000℃ 또는 1500℃ 내지 3000℃의 플라즈마 온도에서 실행된다. 물체는 일반적으로 100℃ 내지 500℃의 온도에 있다. 제한되지는 않지만, 에너지원은 무선주파수 에너지, 마이크로파 에너지 및 자기장을 포함한다. 압력은 일반적으로 10-3 torr 내지 10-10 torr, 또는 10-5 torr 내지 10-8 torr 범위이다. 다양한 종류의 플라즈마 가스 및 혼합물이 사용될 수 있다. 제한되지는 않지만, 이러한 가스는 클로린, 플루오린, 니트로겐 트리플루오라이드, 하이드로겐 클로라이드, 보론 트리클로라이드, 설퍼 헥사플루오라이드, 플루오로카본, 산소 및 아르곤, 네온, 크립톤, 헬륨, 크세논과 같은 불활성 가스를 포함한다. 에칭 시간은 30초 내지 90분 범위 또는 60초 내지 60분 범위 또는 120초 내지 30분 범위일 수 있다.
일반적으로, 클로린, 플루오린, 니트로겐 트리플루오라이드, 하이드로겐 클로라이드 및 산소와 같은 하나 이상의 비불활성 가스는 플라즈마의 10 체적% 내지 50 체적%, 또는 15 체적% 내지 25 체적%로 구성되며, 나머지는 하나 이상의 불활성 가스이다. 대표적으로, 에칭은 클로린, 산소 및 하나 이상의 불활성 가스의 혼합물로 실행된다. 더욱 대표적으로, 에칭은 플루오린, 산소 및 아르곤으로 실행된다. 할로겐 가스는 10 중량% 내지 30 중량%로 구성되고, 산소는 10 중량% 내지 30 중량%로 구성되며, 나머지는 하나 이상의 불활성 가스이다. 에칭은 60초 내지 3시간, 또는 15분 내지 2시간, 또는 30분 내지 1시간 동안 실시된다.
실리콘 카바이드 표면을 변경하기 위하여 사용된 다른 화학 처리 방법은 산화이다. 실리콘 카바이드 물체는 800℃ 내지 2000℃, 또는 1000℃ 내지 1500℃의 온도에서 일반적인 개방 공기로 내에 위치한다. 100시간 내지 400시간 또는 150시간 내지 250시간 동안 가열이 계속된다. 공정을 촉진하기 위하여, 부가적인 산소가 로(furnace) 내로 펌핑 공급될 수 있다. 대안적으로, 이 방법은 내부로 산소가 펌핑 공급되는 밀폐된 로 내에서 실행될 수 있다. 전형적으로 밀폐된 로 내의 입력은 50 torr 내지 1 대기압 범위이다. 밀폐된 로 내에서의 산화는 전형적으로 5시간 내지 30시간 또는 10시간 내지 20시간이 걸린다.
실리콘 디옥사이드층은 물체 상에서 그 두께가 0.1 마이크론 내지 5 마이크론 범위, 또는 0.3 마이크론 내지 2 마이크론 범위일 수 있다. 실리콘 디옥사이드층은 그 후 농축된 미네랄 산 용액에 의하여 제거된다. 제한되지는 않지만, 적절한 산은 하이드록클로릭 산, 설퓨릭 산, 나이트릭 산, 하이드로플루오릭 산, 포스포릭 산 및 그 혼합물을 포함한다. 전형적으로, 하이드로플루오릭 산(hydrofluoric acid)이 이용된다. 제거는 20℃ 내지 90℃의 온도에서 실행된다.
실리콘 디옥사이드가 제거될 때, 실리콘 디옥사이드가 덮고 있는 거친 표면 구조와 날카로운 피크도 제거된다. 실리콘 디옥사이드층의 제거는 원하는 표면 구조를 갖는 매끄러운 표면을 제공한다.
랩핑 및 연마는 다양한 랩핑 장치 및 연마 패드를 갖는 일반적인 장치를 이용하여 실행될 수 있다. 랩핑 플레이트가 사용될 때, 플레이트는 300 m/분 내지 3000 m/분 또는 600 m/분 내지 2500 m/분의 표면 속도로 회전한다. 랩핑 및 연마는 1 psi 내지 15 psi의 압력에서 1 시간 내지 10 시간 실시된다.
랩핑 및 연마는 슬러리, 페이스트 및 건조 입자로 실행될 수 있다. 다양한 종류는 물론 크기를 갖는 입자가 이용될 수 있다. 원하는 표면 거칠기를 얻기 위하여 랩핑 및 연마는 입자 크기를 변경하는 다단계로 실행될 수 있다.
화학적이고 기계적인 연마가 식 AO·XZ2O3의 구조식을 갖는 입자로 실행될 수 있다. 여기서, A는 적어도 하나의 2가 양이온, Z는 적어도 하나의 3가 양이온이며, 0.01≤x≤100 이다. 양이온은, 제한되지는 않지만, 마그네슘, 철(Ⅱ), 아연, 망간, 니켈, 칼슘 및 그 혼합물이다. Z 양이온은, 제한되지는 않지만, 알루미늄, 철(Ⅲ), 크롬, 티타늄 및 그 혼합물이다. 이러한 입자는 5 m2/g 내지 200 m2/g의 비표면적및 5 nm 내지 500 nm의 평균 결정 직경 그리고 10 nm 내지 5000 nm의 평균 총 입자 직경을 갖는다. 제타 전위(Zeta potential)는 양, 영(zero) 또는 음일 수 있다. 일반적으로, 슬러리의 제타 전위는 +10 mV보다 크거나 같을 수 있으며, 또는 -10 mV보다 작거나 같을 수 있다. 수성 슬러리는 0.1 중량% 내지 10 중량%의 양의 입자를 포함한다. 입자에 더하여, 슬러리는 또한 하이드록실라민 화합물 암모늄 퍼슐페이트, 하이드로겐 페록사이드, 폴리일렉트로라이트 및 유기산과 같은 산화제 및 환원제를 포함할 수 있다. 계면활성제, 안정제, 부유제(suspending agent), 완충제 및 윤활제뿐만 아니라 킬레이트 시약이 또한 포함될 수 있다. 제한되지는 않지만, 지르코늄, 구리, 코발트, 리튬 및 희토류 양이온과 같은 부가적인 양이온 또한 포함될 수 있다.
사용될 수 있는 다른 연마 입자는, 제한되지는 않지만, 다이아몬드, 보론 카바이드, 보론 나이트라이드, 카본 나이트라이드, 실리콘 카바이드, 실리콘 나이트라이드 및 그 혼합물을 포함한다. 입자 크기는 0.005 마이크론 내지 30 마이크론, 또는 0.05 마이크론 내지 20 마이크론 또는 0.5 마이크론 내지 10 마이크론 범위일 수 있다. 다이아몬드 페이스트가 사용될 때, 입자 크기는 2 마이크론 이하 범위, 대표적으로는 1 마이크론 이하의 범위일 수 있다. 이러한 연마 입자는 슬러리의 1 중량% 내지 30 중량%로 구성된다. 킬레이트 시약, 완충제 및 계면활성제와 같은 일반적인 첨가제가 일반적인 양으로 슬러리 내에 포함될 수 있다.
제한되지는 않지만, 다른 형태의 연마 처리는 고정된 연마 그라인딩 및 샌드 블라스팅과 같은 그리트 블라스팅(grit blasting)을 포함한다. 고정된 연마 그라인딩은 일반적으로 다이아몬드, 실리콘 카바이드 및 모스 경도 9 이상의 다른 연마제를 포함한다. 이러한 재료들의 조합 역시 사용될 수 있다. 연마제는 입자 형태 또는 다이아몬드 휠과 같은 그라인딩 휠 형태일 수 있다. 휠의 표면 속도는 적어도 1000 m/분, 또는 2000 m/분 내지 10,000 m/분이다. 입자는 10 psi 내지 100 psi 또는 20 psi 내지 80 psi의 압력에서 가해진다. 일반적인 그라인딩 장치가 사용될 수 있다.
그리트 블라스팅이 사용될 때, 일반적인 샌드 블라스팅 장치, 입자 블라스트 장치 및 블라스트 캐비닛이 사용될 수 있다. 제한되지는 않지만, 연마제는 다이아몬드, 실리콘 카바이드, 실리콘 나이트라이드, 보론 나이트라이드, 보론 카바이드 및 그 조합체를 포함한다. 연마제는 10 psi 내지 500 psi 또는 25 psi 내지 150 psi의 압력에서 물체의 표면에 가해진다. 이러한 압력은 원하는 표면이 얻어질 때까지 가해진다.
원하는 표면 거칠기를 제공하기 위하여 재료의 코팅이 또한 사용될 수 있다. 제한되지는 않지만, 이러한 코팅은 실리콘 카바이드, 실리콘, 실리콘 나이트라이드, 실리콘 디옥사이드 및 카본을 포함한다. 이러한 코팅은 또한 CVD 또는 PVD에 의하여 세라믹 물체 표면 상에 증착될 수 있다. 코팅을 형성하기 위하여 일반적인 반응물이 사용될 수 있다. 증착 온도 및 압력은 코팅의 종류에 따라 변화될 수 있다. 일반적으로, 로 온도는 1000℃ 내지 1500℃ 범위 또는 1200℃ 내지 1400℃ 범위일 수 있다. 로 압력은 20 torr 내지 760 torr 또는 80 torr 내지 125 torr 범위일 수 있다. 코팅 증착 속도는 0.01 마이크론/분 내지 5 마이크론/분 또는 0.5 마이크론/분 또는 3 마이크론/분 범위일 수 있다. 코팅 두께는 0.01 마이크론 내지 10 마이크론 또는 0.1 마이크론 내지 5 마이크론 범위일 수 있다.
위에서 설명한 방법들은 실리콘 카바이드 웨이퍼 지지 물체의 형성을 가능하게 하는 공정의 예시적인 것이며, 이 물체는 감소된 슬립뿐만 아니라 스틱션과 플로트 사이의 개선된 균형을 갖는 웨이퍼를 처리하기 위하여 사용될 수 있다.
웨이퍼 지지 장치 내에서 어떠한 형태의 반도체 웨이퍼도 처리될 수 있다. 대표적으로, 반도체 웨이퍼는, 제한되지는 않지만, 실리콘, 사파이어, 갈륨 아세닉(gallium arsenic) 또는 실리콘 카바이드를 포함하는 재료로 구성된다. 더욱 대표적으로, 반도체 웨이퍼는 실리콘으로 구성된다. 하나 이상의 웨이퍼가 동시에 처리될 수 있다. 웨이퍼 지지 장치 내에서 웨이퍼가 안착되어 웨이퍼 지지 장치의 매끄러운 표면이 웨이퍼 표면의 5% 내지 80%, 또는 웨이퍼 표면의 20% 내지 70%에 접촉하여 슬립을 감소시키고 스틱션과 플로트를 균형잡히게 한다. 또한, 위에서 설명한 표면 인자들은 슬립을 촉진할 수 있는 날카로운 구조를 제공하지 않는다. 일반적으로, 웨이퍼의 배면은 웨이퍼 지지 물체, 일반적으로 서스셉터 링 상에 놓여진다. 웨이퍼가 웨이퍼 지지 물체에 의하여 지지되지 않는 경우, 웨이퍼 처리 동안의 고온은 일반적으로 웨이퍼를 굽히거나 중심에 가까운 볼록 배면을 형성한다. 굽힘 정도가 단지 수 마이크론일지라도, 에지가 거칠 때 웨이퍼가 안착되어 웨이퍼에 손상을 야기하는 웨이퍼 지지 물체의 에지에 대하여 웨이퍼가 마찰을 일으키기에 충분한 굽힘이 존재하게 된다. 굽힘 동안에, 웨이퍼에 대한 손상을 방지 또는 감소시키기 위하여 웨이퍼 지지 물체의 에지는 0.05 mm 이상의 에지 반경을 갖는다.
도 1, 도 2 및 도 3은 한 종류의 서스셉터 링의 예이다. 도시된 바와 같이, 서스셉터 링(10)은 다양한 제조 공정 동안에 반도체 웨이퍼(12)를 지지하기 위하여 사용된다. 서스셉터 링(10)은 2개의 기본 요소들, 즉 링(14) 및 블록커(16; blocker)로 형성된다. 링(14)은 상부면 및 하부면(18 및 20)을 갖는 환형 부재이다. 링은 또한 웨이퍼 숄더(26) 및 블록커 숄더(28)로 지시된, 내부 측벽(22)에 형성된 2개의 숄더(shoulder)를 포함한다. 웨이퍼 숄더(26)는 상부면(28)으로 개방된 반면에, 블록커 숄더(28)는 웨이퍼 숄더로 개방되어 있다. 시트(30; seat)와 배벽(36; back wall)은 에지(33)에 연결된다.
웨이퍼 숄더는 링의 길이 방향 축에 수직으로 연장된 평면 시트(30) 및 길이 방향 축과 동축적인 관형 배벽(32)을 포함한다. 배벽(32)은 상부면(18)과 교차한다. 유사한 방법으로, 블록커 숄더는 시트(34) 및 배벽(36)을 포함하며, 블록커 숄더의 배벽(36)은 시트(30)와 교차하여 에지(33)를 형성한다. 에지의 외주로부터 1/4 지점에서의 점 "q"에서 측정한 바와 같은, 에지(33)의 반경 "r "은 0.05 mm 이상이다. 도시된 바와 같이, 시트는 웨이퍼 및 블록커를 위하여 중력에 대한 지지를 제공하는 반면에, 배벽은 웨이퍼 및 블록커를 위하여 중력에 대한 지지를 제공하고 웨이퍼 또는 블록커의 측방향 이동에 대하여 지지를 제공한다. 각 경우에서, 배벽의 직경은 웨이퍼 또는 블록커의 직경보다 크게 이루어져 이들 부재가 링으로 쉽게 삽입되고 링에서 쉽게 제거되게 한다. 또한, 시트는 블록커의 두께보다 큰 간격으로 이격되어 도 2에 도시된 바와 같이 웨이퍼의 바닥면과 블록커의 상부면 사이에 공간이 존재한다.
웨이퍼 홀더는 다양한 웨이퍼 처리 방법에 사용될 수 있다. 제한되지는 않지만, 이러한 방법은 웨이퍼의 고온 어닐링, 고온 확산, 나이트라이드 증착과 같은 배치 공정 챔버(batch process chamber), 에피택셜 실리콘 성장, 화학 기상 증착 필름 성장, 웨이퍼 어닐링, 고온 확산 및 플라즈마 에칭과 같은 단일 웨이퍼 공정 챔버를 포함한다.
반도체 웨이퍼는 어떠한 적절한 웨이퍼 공정 챔버 내의 웨이퍼 지지 물체 내에서 뿐만 아니라 어떠한 적절한 방법으로 처리될 수 있다. 제한되지는 않지만, 이러한 방법은 어닐링, 확산 및 나이트라이드 증착, 에피택셜 성장, CVD 필름 성장 및 플라즈마 에칭을 포함한다. 전자 장치 내에 사용될 수 있도록 이러한 공정은 반도체 웨이퍼 표면을 변경시킨다.
제한되지 않지만, 어닐링의 예는 단열 가열(adiabatic heating), 열 플럭스(heat flux) 및 등온 가열을 포함한다. 단열 가열은 10 내지 100X10-9초의 매우 짧은 시간 동안 (레이저, 이온 빔, 전자 빔과 같은) 펄스 에너지 원에 의하여 제공된 에너지의 응용을 포함한다. 이 높은 밀도, 짧은 시간 동안의 에너지는 반도체 표면을 1 내지 2 마이크론 깊이까지 용융시킨다. 열 플럭스는 5X10-6 내지 2X10-2 초 동안 에너지를 인가하는 것을 포함한다. 열 플럭스 가열은 웨이퍼의 표면 아래에 2 마이크론보다 크게 연장된 실질적인 온도 구배를 형성하나, 어떠한 것도 웨이퍼의 두께 전체에 걸쳐 균일한 가열에 접근하지 못하게 한다. 등온 가열은 1 내지 10 초 동안 가해지는 에너지를 포함하여 웨이퍼의 영역 내에서의 두께 전체에 걸쳐 웨이퍼의 온도가 균일해지도록 한다.
어닐링 공정은 일반적인 로 내에서 또는 급속 열 어닐링(RTA) 시스템 내에서 실행될 수 있다. 예를 들어, 실리콘의 급속 열 어닐링은 어떠한 다수의 상업적으로 유용한 RTA 로 내에서 수행될 수 있으며, RTA 로 내에서 웨이퍼들은 고동력 램프의 열(banks of lamps)에 의하여 개별적으로 가열된다. RTA 노는 실리콘 웨이퍼를 상온에서부터 1200℃의 온도로 수 초만에 급속하게 가열할 수 있다. 이러한 상업적으로 유용한 로는 AG 어소시에이트 사(캘리포니아, 마운틴 뷰)의 모델 610이다.
에피택셜층은 본 기술 분야에서 알려진 많은 다른 공정에 의하여 반도체 웨이퍼 상에 증착 또는 성장될 수 있다. 대표적으로, 에피택셜층의 성장은 화학 기상 증착에 의하여 이루어진다. 일반적으로, 화학 기상 증착은 수소와 같은 캐리어 가스와 함께 SiCl4, SiHCl3, SiH2Cl2, 또는 SiH4와 같은 휘발성 반응물의 에픽텍셜 반응기로의 유입을 포함한다. 공정 조건이 변화될 수 있을지라도, 단결정 층 증착의 경우에서는 온도는 일반적으로 1080℃ 내지 1150℃ 범위이다. 또한, 증착이 일어나는 환경은 일반적으로 미립자 오염물이 없다.
반도체 웨이퍼 상의 필름 형성은 열 화학 기상 증착에 의하여 진행될 수 있다. 일반적으로, 공정은 단일 웨이퍼 증착 챔버 내에서 수행된다. 예를 들어, 증착 챔버 내에서, 헥사클로로디실레인 소스 가스는 니트로스 옥사이드(nitrous oxide)와 같은 산화 소스와 혼합되어 실리콘 옥사이드 필름을 형성한다. 대안적으로, 헥사클로로디실레인 소스 가스는 암모니아와 같은 질화 소스 가스와 혼합되어 실리콘 나이트라이드 필름을 형성한다. 대안적으로, 헥사클로로디실레인 소스 가스는 니트로스 옥사이드와 같은 산화 소스 가스 및 암모니아와 같은 질화 소스 가스와 혼합되어 실리콘 옥시나이트라이드 필름을 형성한다. 이 필름들은 10 torr 내지 350 torr의 전체 압력 및 500℃ 내지 800℃ 범위의 온도를 갖는 챔버 내에서 형성된다. 이 필름들은 45 Å/분 내지 2000 Å/분의 증착 속도로 형성된다. 헥사클로로디실레인을 이용하여 형성된 필름은 300 Å보다는 작고 10 Å보다는 큰 두께를 가질 수 있다.
변형된 반도체 웨이퍼는 본 기술 분야에서 알려진 어떠한 적절한 방법을 이용하여 슬립을 위하여 측정될 수 있다. 슬립을 측정하는 것은 방법에 의존되지 않는다. 본 산업에서 사용된 한가지 방법은 광이 웨이퍼를 통과한 후 입사된 편향 광의 편광 소멸(depolarization)을 측정하고 기록하는 것이다. 이 방법은 SIRDTM 스캔닝 자외선 디폴라리제이션(depolarization) 기구와 같은 편광 소멸 촬영 장치(imager)로서 수행될 수 있다. 본 산업에서 슬립을 측정하는 다른 방법은 정지 빔 기술(stationary beam technology ; SBT)에 의한 것이며, 이는 레이저-기반 검사 기술이다. 이 방법은 서프스캔?SP1 패터닝되지 않은 표면 검사 시스템과 같은 표면 검사 시스템을 이용하여 수행될 수 있다.
다음의 실시예들은 본 발명을 더욱 잘 설명한다. 그러나, 이 실시예들은 본 발명의 범위를 한정하려는 의도는 아니다.
실시예 1
CVD 실리콘 카바이드 서스셉터 링(CVD silicon carbide susceptor)은 종래의 연마 장치를 이용하여 Ra=0.8 마이크론의 표면 거칠기로 가공되었다. 상기 표면 거칠기는 접촉 프로필로미터(contact profilometer)를 이용함에 의해 측정되었다. 상기 가공은 초기에 150 그릿(grit)을 가지는 다이아몬드 휠(diamond wheel)을 가지고 행해졌고, 이어서 320 그릿을 가지는 다이아몬드 휠에 의해 행해졌다. 연마는 9시간 1750 rpm의 속도로 행해졌다. 상기 서스셉터의 표면의 사진이 찍혔다. 도 4의 상부는 상기 서스셉터의 가공 표면의 일부분을 30배 확대하여 도시한다. 도 4는 종래 가공에 의해 산출되는 홈(grooves) 및 릿지들(ridges)의 특징을 도시한다.
그런 후, 상기 서스셉터 링은 4 내지 8 마이크론의 입자 크기를 가지는 다이아몬드 페이스트(paste)를 이용한 Pellon Pad™ 랩핑 패드(lapping pad)를 이용하여 랩핑된다(lapped). 상기 랩핑을 600 m/min의 표면 속도로 2시간 동안 실시하였다.
이어서, 상기 서스셉터의 일부 표면의 사진이 찍었다. 도 4의 하부는 상기 랩핑된 서스셉터를 30배 확대하여 도시한다. 종래 가공의 홈들 및 리지들 특성은 제거되었다. 더 평탄한 표면이 얻어졌다.
상기 표면 거칠기는 접촉 분석기를 이용함에 의해 측정되었다. 상기 Ra값은 0.1 마이크론이 되도록 설정되었고, 상기 Rz(din)는 1 마이크론이 되도록 설정되었다. 상기 랩핑 방법은 표면에 종래 가공 과정보다 낮은 Ra값을 공급하였다.
계속하여, 상기 서스셉터 링을 웨이퍼 보트(wafer boat)에 위치시켰고, 반도체 웨이퍼를 상기 서스셉터 링 내부에 위치시켰다. 그런 후, 상기 웨이퍼 보트를 종래의 CVD 로(furnace) 내 위치시켰다. 상기 로를 1100℃로 가열되하였으며, 불활성 아르곤 및 수소 분위기가 에피택셜 필름 형성(epitaxial film formation)을 위해 마련되었다. 상기 웨이퍼를 가지는 웨이퍼 보트가 상기 로 안에서 6시간 동안 가열되었다. 이어서, 상기 로는 상온으로 냉각되었고, 상기 서스셉터 링 및 상기 웨이퍼를 가지는 상기 웨이퍼 보트는 상기 로로부터 제거되었다.
Surfscan SPI를 이용한 정지 빔 기술(stationary beam technology)은 상기 슬립(slip)을 측정하는데 사용되었다. 상기 측정들은 어떤 슬립도 나타내지 않았다. 그러므로, 상기 랩핑 방법은 슬립을 방지하는 서스셉터를 제공하였다.
실시예 2
CVD 실리콘 카바이드 서스셉터 링은 종래의 연마 장치 및 실시예 1에서 상술된 방법을 이용하여 Ra=0.8 마이크론의 표면 거칠기로 가공되었다. 상기 표면 거칠기는 접촉 분석기를 이용함에 의해 측정되었다.
이어서, 상기 서스셉터 링은 4 내지 8 마이크론의 입자 크기를 가지는 다이아몬드 페이스트(paste)를 이용한 Pellon Pad™ 랩핑 패드를 이용하여 랩핑된다. 상기 랩핑은 1200m/min의 표면 속도로 2시간 동안 실시되었다. 그런 후, 상기 서스셉터는 2 내지 4 마이크론의 입자 크기를 가지는 다이아몬드 페이스트를 이용하여 3시간 동안 연마되었다. 접촉 분석기를 가지고 측정한 바와 같이, 상기 Ra는 0.05 마이크론보다 작도록 기대되었고 상기 Rz(din)는 0.5 마이크론보다 작도록 기대되었다.
계속하여, 상기 서스셉터 링은 웨이퍼 지지 장치에 위치되었고, 반도체 웨이퍼를 상기 서스셉터 링 내에 위치하였다. 이어서, 상기 장치는 불활성 아르곤 분위기를 가지는 CVD 로 내로 위치하였다. 상기 로의 온도는 상온으로부터 1100℃까지 상승되었다. 상기 장치는 상기 로에서 10시간 동안 가열되었다. 그런 후, 상기 로는 상온으로 냉각되었다. 상기 웨이퍼 지지 장치가 상온에 도달했을 때, 장치는 상기 로로부터 제거되었다. 상기 실리콘 웨이퍼는 상기 장치로부터 제거되었고, 슬립의 양이 결정되었다. 어떤 측정할 만한 슬립도 기대되지 않았다.
실시예 3
CVD 실리콘 카바이드 서스셉터 링은 실시예 1에 상술된 종래의 그라인딩 장치를 이용하여 Ra=0.8 마이크론의 표면 거칠기로 만들었다. 표면 거칠기를 접촉 프로필로미터(profilometer)를 사용하여 측정하였다.
이어서, 상기 서스셉터 링은 4 내지 8 마이크론 크기의 입자를 가진 다이아몬드 페이스트를 사용한 펠론 패드(Pellon Pad)™ 랩핑 패드를 사용하여 랩핑된다. 랩핑은 1500m/분의 표면 속도로 3시간 동안 수행된다. 계속하여, 상기 서스셉터를 0.25 내지 1 마이크론 크기의 입자를 가진 다이아몬드 페이스트를 사용하여 4시간 동안 연마하였다. Ra는 0.005 마이크론이고, Rz(din)은 0.05 마이크론으로 예상된다. 상기 서스셉터의 모서리 반경은 0.1mm보다 클 것이다.
이어서, 상기 서스셉터는 웨이퍼 보트에 놓인다. 실리콘 반도체 위이퍼는 상기 서스셉터 보트 내에 놓이고, 이어서 상기 보트는 로 내에 놓인다. 상기 로에는 아르곤 및 수소의 불활성 분위기가 공급된다. 상기 로는 1200℃로 가열되고, 상기 보트는 상기 로 내에 10시간 동안 잔류한다. 상기 로의 온도는 상온으로 냉각되도록 한다. 서스셉터 링을 가진 보트가 상온에 도달한 후에, 슬립이 서프스캔(Surfscan) SP1을 이용한 고정 빔 기술을 사용하여 측정하였다. 측정 가능한 슬립이 없을 것으로 예상된다.
실시예 4
CVD 실리콘 카바이드 서스셉터 링은 Ra=0.8 마이크론의 표면 거칠기를 얻기 위해 실시예1과 같은 절차에 따라 제조되었다. 이어서, 상기 서스셉터를 20%의 산소를 함유한 표준 열 개방 공기 로 내에 위치시켰다. 상기 로의 온도는 1000도까지 상승되고, 압력은 1 기압으로 유지한다. 상기 서스셉터를 상기 서스셉터의 표면상에 0.1 마이크론의 두께의 실리콘 디옥사이드층을 생성하기 위해 12시간 동안 로에서 가열하였다.
이어서, 상기 서스셉터를 웨이퍼 보트에 위치시켰다. 반도체 위이퍼를 상기 서스셉터 내에 놓고, 이어서 상기 보트를 아르곤 및 수소의 불활성 분위기를 갖는 로 내에 위치시켰다. 상기 로를 1200℃로 가열하였다. 상기 보트는 상기 로 내에서 10시간 동안 가열된다. 이어서 상기 로의 온도는 상온으로 냉각되도록 한다. 상기 서스셉터 링을 가진 보트가 상온에 도달한 후에, 슬립을 서프스캔(Surfscan) SP1을 이용한 고정 빔 기술을 사용하여 측정하였다. 측정 가능한 슬립이 없는 것으로 검출되었다.
실시예 5
CVD 실리콘 카바이드 서스셉터 링은 실시예 1에 상술된 바와 같이 Ra=0.8로 만들어졌다. 이어서, 링의 표면 위에 0.8 마이크론 두께의 실리콘 디옥사이드를 형성하기 위하여 서스셉터 링을 표준 열 개방 공기 로 내에 위치시켰다.
상기 개방 공기 로의 온도는 서스셉터 링의 표면에 실리콘 디옥사이드층을 만들기 위해 200시간 동안 1100℃를 유지하였다. 이어서 상기 로는 주위 온도로 냉각된다. 상기 서스셉터 링이 냉각될 때, 상기 서스셉터로부터 상기 실리콘 디옥사이드층을 스트리핑하기 위해 상기 서스셉터 링을 1 N 불화수소 용액에 30분 동안 담궜다. 상기 불화수소 용액의 온도는 스트리핑 되는 동안 30℃이다.
상기 서스셉터의 표면 거칠기는 접촉 프로필로미터(profilometer)를 사용하여 측정된다. Ra는 0.1 마이크론으로 측정되었고, Rz는 1 마이크론으로 측정되었다.
상기 서스셉터 링은 웨이퍼 보트에 놓이고, 실리콘 반도체 웨이퍼는 상기 링 내에 놓인다. 이어서 상기 보트는 로 내에 놓이고, 1050도로 가열된다. 상기 보트는 5.5시간 동안 아르곤 및 수소 분위기의 로 내에 놓인다. 5.5시간 후에 상기 로는 상온으로 냉각된다. 상기 보트가 상온에 도달할 때, 상기 로로부터 제거된다. 상기 웨이퍼는 상기 보트로부터 제거되고, 슬립의 양은 패턴화되지 않은 표면 측정 시스템인 서프스캔(Surfscan) SP1을 사용하여 측정된다. 측정은 측정 가능한 슬립이 없는 것으로 나타났다.
실시예 6
소결된 실리콘 카바이드 서스셉터는 종래의 가공 장치를 사용하여 Ra=1 미크론의 표면 거칠기로 가공된다. 상기 가공은 실시예 1에서 설명한 동일한 방법에 의해 수행된다. 다음으로, 상기 서스셉터는 연마 패드 및 0.5 미크론에서 1 미크론의 평균 입자 크기를 갖는 다이아몬드 입자를 사용하여 연마된다. 연마는 2400m/min의 표면 속력으로 5시간 이상 수행된다.
상기 서스셉터가 연마된 이후에, 그것은 종래의 유도 결합된(coupled) 플라즈마 반응기 내에 배치된다. 에칭 과정동안, 10-5 torr의 압력에서 상기 플라즈마의 온도는 1400℃ 로 유지된다. 염화 수소 가스는 1000ml/분의 속도(rate)로 챔버 내로 도입된다. 에칭은 60초 동안 수행된다. 상기 Ra는 0.5 미크론보다 작은 것이 예상되며, 상기 Rz(din)은 5 미크론보다 작은 것이 예상된다. 상기 에지의 반경은 0.5mm로 예상된다. 표면 측정들은 광학 프로필로미터(profilometer)로 수행된다.
실리콘 웨이퍼를 포함하는 상기 서스셉터는 다음으로 실리콘 카바이드 웨이퍼 보트 내에 배치된다. 다음으로, 상기 웨이퍼는 1 시간동안 1200℃의 수소 분위기의 로 내에서 어닐링된다. 다음으로, 상기 웨이퍼는 1200℃에서 1시간 동안 건조 산소 분위기 내에서 어닐링된다. 상기 용광로는 상온으로 냉각된다. 상기 웨이퍼가 상온으로 냉각된 이후에, 그것은 상기 서스셉터로부터 제거된다. 상기 웨이퍼는 SIRDTM 또는 Surfscan?SP1에 의해 측정된 어떠한 슬립을 나타내는 것이 예상되지 않는다.
실시예 7
소결된 실리콘 카바이드 서스셉터 링은 종래의 그라인딩 장치를 사용하여 Ra=2 미크론의 표면 거칠기로 가공된다. 그라인딩은, 그라인딩이 9시간 대신 6시간동안 수행된다는 것을 제외하고, 실시예 1에 설명된 동일한 방법에 의해 수행된다.
상기 서스셉터는 헬리콘 플라즈마 에치 반응기 내에 배치된다. 에칭 과정동안, 10-5 torr의 압력에서 상기 반응기의 온도는 1200℃로 유지된다. 플루오르화 질소는 플로오르 가스의 소스로써 500ml/min의 유동률로 상기 반응기로 공급된다. 에칭은 30분동안 수행된다. 다음으로, 상기 반응기는 상온으로 냉각되며, 상기 서스셉터가 상온에 이르면, 그것은 상기 챔버로부터 제거된다.
다음으로, 상기 서스셉터의 표면은 50wt% 플루오르화 수소산 및 50중량% 질산을 포함하는 미네랄 산의 혼합물로 처리된다. 상기 서스셉터는 20초동안 상기 산 혼합물의 배스(bath) 내에 담궈진다. 상기 용액의 온도는 35℃이다. 상기 서스셉터는 0.5 미크론의 Ra 및 3 미크론의 Rz(din)을 갖는 것으로 예상된다. 상기 에지 반경은 0.1mm로 예상된다.
실리콘 웨이퍼는 상기 서스셉터 상에 배치된다. 그들은 아르곤 분위기를 갖는 로 내에 배치되어 1400℃ 에서 10시간동안 가열된다. 상기 로 내 온도는 상온으로 감온된다. 상기 서스셉터 및 웨이퍼가 상온에 이르면, 그들은 상기 로로부터 제거된다. 상기 웨이퍼는 Surfscan?SP1 및 SIRDTM의 사용에 의해 측정가능한 슬립을 나타내지 않는 것이 예상된다.
실시예 8
CVD 실리콘 카바이드 서스셉터 링은 실시예 1에서 설명한 동일한 방법에 의해 가공된다. 다음으로, 상기 서스셉터 링은 헬리콘 플라즈마 반응기 내에 배치된다. 수소 가스는 50ml/분의 유동 속도로 상기 반응기로 더해지며, 염소 가스는 300ml/분의 속도로 상기 반응기로 더해진다. 네온 가스는 상기 반응기 내에 비활성의 환경을 제공하도록 100ml/분의 속도로 상기 챔버에 더해진다. 10-8 torr의 압력으로 상기 반응기 내에 온도는 1500℃ 로 유지된다. 에칭은 60분 이상 수행된다. 상기 서스셉터는 0.5 미크론의 Ra 및 3 미크론의 Rz(din)을 갖는 것이 예상된다. 상기 에지 반경은 0.25mm로 예상된다.
실리콘 웨이퍼와 함께 상기 서스셉터는 수소 분위기를 갖는 반응기 내로 배치된다. 상기 반응기의 온도는 1300℃이다. 상기 웨이퍼는 3분 동안 처리된다. 다음으로, 상기 웨이퍼의 상기 표면은 65 체적%의 가스 상태의 염화 수소로 에칭된다. 상기 반응기 내 상기 압력은 2 torr이다.
상기 웨이퍼가 에칭된 이후에, 실리콘 층들은 반응물들인 디클로로실란 및 수소를 사용하여 상기 웨이퍼 상에서 성장한다. 상기 반응 혼합물은 60 체적%의 디클로로실란 및 40 체적%의 수소이다. 상기 반응기의 온도는 실리콘 성장동안 1050℃ 로 감온된다. 상기 압력은 2 torr로 유지된다. 성장률은 분당 0.2에서 0.3 미크론이다.
상기 웨이퍼가 상온으로 냉각되면, 그것은 상기 반응기로부터 제거된다. 상기 웨이퍼는 상기 SIRDTM 또는 Surfscan?SP1 기구들을 사용하여 측정가능한 슬림 결함들(defects)을 나타내지 않는 것이 예상된다.
실시예 9
CVD 실리콘 카바이드 서스셉터 링은 실시예 1에서 설명한 Ra=0.1 미크론 및 Rz(din)=1 미크론의 표면 거칠기로 가공되며, 랩핑된다. 다음으로, 실리콘 웨이퍼는 상기 링 상에 배치되며, 둘다(both) 단일-웨이퍼 증착 챔버 내에 배치된다.
상기 실리콘 웨이퍼 상에 필름을 형성하기 위한 증착 온도는 800℃ 이며, 상기 챔버 내 압력은 50 torr이다. 상기 반응물들은 50ml/분의 속도로 제공되는 암모니아 가스 및 60ml/분의 속도로 제공되는 헥사클로로디실란이다. 필름 증착은 분당 0.2 에서 0.3 미크론의 속도로 발생한다.
실리콘 니트라이드 필름이 상기 실리콘 웨이퍼 상에 형성된 이후에, 그것은 상기 챔버 내에서 상온으로 냉각된다. SIRDTM 또는 Surfscan?SP1 기구들을 사용하여 측정가능한 슬립이 상기 웨이퍼 상에서 감지되는 것이 예상되지 않는다.
실시예 10
화학적 기상 증착된 실리콘 카바이드 서스셉터 링은 종래의 그라인딩 장치 및 실시예 1에 기재된 방법을 사용하여 Ra=0.8미크론의 표면 거칠기로 가공되었다.
다음으로 서스셉터 링은 400 메쉬(그릿) 크기를 가지는 실리콘 카바이드 파 우더를 사용하여 샌드 블래스팅되었다. 실리콘 카바이드 파우더는 100 psi 압력에서 1/8인치의 직경을 가지는 텅스텐 카바이드 노즐을 통하여 블래스팅되었다. 서스셉터 링의 전체 표면은 모든 머시닝 표시가 더이상 표면 상에서 보이지 않고 표면이 균일한 외관을 가질 때까지 샌드 블래스팅되었다. 전체 샌드 블래스팅 공정은 완료되기까지 약 30분이 소요된다.
표면 거칠기는 접촉 프로필로미터(profilometer)를 사용하여 측정되었고, 평균 거칠기는 Ra=1.9 미크론이고 Rz(din)=7.6 미크론이었다.
이어서 서스셉터 링은 웨이퍼 보트 내에 위치되었다. 실리콘 웨이퍼는 서스셉터 링 상에 위치되었고, 이어서 보트는 불활성 아르곤 및 수소 분위기를 가진 로(furnace) 속으로 위치되었다. 로는 1200℃까지 가열되었다. 보트는 10시간 동안 로 내에서 가열되었다. 이어서 로의 온도는 상온까지 냉각되도록 허용되었다. 서스셉터 링 및 웨이퍼를 가진 보트가 상온까지 도달한 후에 슬립이 측정되었다. SIRDTM 또는 Surfscan?SP1 장치를 사용하여 실리콘 웨이퍼 상에서 어떠한 측정 가능한 슬립은 탐지되지 않았다.
실시예 11
화학적 기상 증착된 실리콘 카바이드 서스셉터 링은 종래의 그라인딩 장치 및 실시예 1에 기재된 방법을 사용하여 표면 조도 Ra=0.8미크론의 표면 거칠기로 가공되었다. 표면 거칠기는 접촉 프로필로미터(profilometer)를 사용하여 측정되었다.
다음으로 서스셉터 링은 400 메쉬(그릿) 크기를 가지는 실리콘 카바이드 파우더를 사용하여 샌드 블래스팅되었다. 실리콘 카바이드 파우더는 100 psi 압력에서 1/8인치의 직경을 가지는 텅스텐 카바이드 노즐을 통하여 블래스팅되었다. 서스셉터 링의 전체 표면은 모든 가공 표시가 더이상 표면 상에서 보이지 않고 표면이 균일한 외관을 가질 때까지 샌드 블래스팅되었다. 전체 샌드 블래스팅 공정은 완료되기까지 약 30분이 소요된다.
다음으로 서스셉터 링은 1000 메쉬(그릿) 크기를 가지는 실리콘 카바이드 파우더를 사용하여 샌드블래스팅되었다. 실리콘 카바이드 파우더는 120 psi 압력에서 1/8인치의 직경을 가지는 텅스텐 카바이드 노즐을 통하여 블래스팅되었다. 서스셉터 링의 전체 표면은 약 20분 동안 샌드블래스팅되었다.
접촉 프로필로미터를 사용하여 표면 거칠기를 측정하였고, 평균 거칠기는 Ra=1.3 미크론이고 Rz(din)=5.5 미크론이었다.
이어서 서스셉터 링은 웨이퍼 보트 내에 위치되었다. 실리콘 웨이퍼는 서스셉터 링 상에 위치되었고, 이어서 보트는 불활성 아르곤 및 수소 분위기를 가진 로(furnace) 속으로 위치되었다. 로는 1200℃까지 가열되었다. 보트는 10시간 동안 로 내에서 가열되었다. 이어서 로의 온도는 상온까지 냉각되도록 허용되었다. 서스셉터 링 및 웨이퍼를 가진 보트가 상온까지 도달한 후에 슬립이 측정되었다. SIRDTM 또는 Surfscan?SP1 장치를 사용하여 실리콘 웨이퍼 상에서 어떠한 측정 가능한 슬립은 탐지되지 않았다.
이상과 같은 본 발명은 감소된 웨이퍼 거칠기를 갖는 웨이퍼 지지 물체 내에서 처리된 감소된 결함을 갖는 반도체 웨이퍼를 제공하기 위한 반도체 웨이퍼 처리 방법을 제공한다.

Claims (18)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 반도체 웨이퍼를 처리하는 방법에 있어서,
    a) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면 상에 실리콘 디옥사이드층을 형성하고, 150 내지 250 시간 동안 1000 내지 1500℃의 온도로 산소 분위기에서 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 가열하고, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면으로부터 실리콘 디옥사이드층을 스트리핑함으로써, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면을 변형시켜 3 마이크론 이하의 Ra 및 15 마이크론 이하의 Rz(din)를 갖는 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 제공하는 단계;
    b) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체 내에 하나 이상의 반도체 웨이퍼를 위치시키는 단계;
    c) 하나 이상의 반도체 웨이퍼와 함께 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 공정 챔버 내에 위치시키는 단계; 및
    d) 하나 이상의 반도체 웨이퍼를 처리하여 변형시켜 50개 이하의 슬립 결함을 갖는 변형된 반도체 웨이퍼를 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  12. 반도체 웨이퍼를 처리하는 방법에 있어서,
    a) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면 상에 실리콘 디옥사이드층을 형성하고, 150 내지 250 시간 동안 1000 내지 1500℃의 온도로 산소 분위기에서 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 가열하고, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 하나 이상의 표면으로부터 실리콘 디옥사이드층을 스트리핑함으로써, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체의 표면을 변형시켜 0.05 mm 이상의 에지 반경, 3 마이크론 이하의 Ra 및 15 마이크론 이하의 Rz(din)를 갖는 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 제공하는 단계;
    b) 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체 내에 하나 이상의 반도체 웨이퍼를 위치시키는 단계;
    c) 하나 이상의 반도체 웨이퍼와 함께 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체를 공정 챔버 내에 위치시키는 단계; 및
    d) 하나 이상의 반도체 웨이퍼를 처리하여 변형시켜 50개 이하의 슬립 결함을 갖는 변형된 반도체 웨이퍼를 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  13. 제11항에 있어서, 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체는 0.001 내지 0.1 마이크론의 Ra 및 0.005 내지 1 마이크론의 Rz(din)을 갖는 것을 특징으로 하는 방법.
  14. 제11항에 있어서, 실리콘 디옥사이드층은 0.1 내지 5 마이크론의 두께인 것을 특징으로 하는 방법.
  15. 제14항에 있어서, 실리콘 디옥사이드층은 0.3 내지 2 마이크론 두께인 것을 특징으로 하는 방법.
  16. 제11항에 있어서, 실리콘 디옥사이드층은 산으로 스트리핑되는 것을 특징으로 하는 방법.
  17. 제16항에 있어서, 산은 하이드로클로오릭산, 황산, 질산, 하이드로플루오릭산 및 인산으로부터 하나 이상이 선택된 것을 특징으로 하는 방법.
  18. 제11항 또는 제12항의 방법에 따라 제조된 화학 기상 증착된 실리콘 카바이드 웨이퍼 지지 물체.
KR1020060098173A 2005-10-07 2006-10-09 반도체 처리 방법 KR101264395B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US72497005P 2005-10-07 2005-10-07
US60/724,970 2005-10-07

Publications (2)

Publication Number Publication Date
KR20070038935A KR20070038935A (ko) 2007-04-11
KR101264395B1 true KR101264395B1 (ko) 2013-05-14

Family

ID=37441831

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060098173A KR101264395B1 (ko) 2005-10-07 2006-10-09 반도체 처리 방법

Country Status (6)

Country Link
US (2) US7722441B2 (ko)
EP (1) EP1772901B1 (ko)
JP (1) JP5183053B2 (ko)
KR (1) KR101264395B1 (ko)
CN (1) CN1953154A (ko)
TW (1) TWI327761B (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7238088B1 (en) * 2006-01-05 2007-07-03 Apollo Diamond, Inc. Enhanced diamond polishing
WO2008005716A2 (en) * 2006-06-30 2008-01-10 Memc Electronic Materials, Inc. Wafer platform
JP5098483B2 (ja) * 2007-07-25 2012-12-12 住友金属鉱山株式会社 サファイア基板の研磨方法
JP5071217B2 (ja) * 2008-04-17 2012-11-14 信越半導体株式会社 縦型熱処理用ボートおよびそれを用いたシリコンウエーハの熱処理方法
US8420544B2 (en) * 2010-06-03 2013-04-16 United Microelectronics Corp. Method for fabricating interconnection structure with dry-cleaning process
DE102011083041B4 (de) 2010-10-20 2018-06-07 Siltronic Ag Stützring zum Abstützen einer Halbleiterscheibe aus einkristallinem Silizium während einer Wärmebehandlung und Verfahren zur Wärmebehandlung einer solchen Halbleiterscheibe unter Verwendung eines solchen Stützrings
KR20120073727A (ko) * 2010-12-27 2012-07-05 삼성전자주식회사 스트레인드 반도체 영역을 포함하는 반도체 소자와 그 제조방법, 및 그것을 포함하는 전자 시스템
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
KR101390474B1 (ko) * 2013-04-08 2014-05-07 주식회사 유진테크 기판처리장치
JP6232680B2 (ja) * 2013-09-06 2017-11-22 大陽日酸株式会社 サセプタのクリーニング方法
ITCO20130041A1 (it) * 2013-09-27 2015-03-28 Lpe Spa Suscettore con elemento di supporto
JP6316128B2 (ja) * 2014-07-16 2018-04-25 東京エレクトロン株式会社 スペーサ及びこれを用いた基板処理方法
USD793972S1 (en) * 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
USD778247S1 (en) 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
WO2017030873A1 (en) * 2015-08-14 2017-02-23 M Cubed Technologies, Inc. Wafer chuck featuring reduced friction support surface
US10475627B2 (en) * 2016-03-25 2019-11-12 Lam Research Corporation Carrier ring wall for reduction of back-diffusion of reactive species and suppression of local parasitic plasma ignition
JP6986917B2 (ja) * 2017-10-04 2021-12-22 東京エレクトロン株式会社 基板液処理装置
USD920936S1 (en) * 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
DE102019207433A1 (de) 2019-05-21 2020-11-26 Siltronic Ag Verfahren zur Herstellung von Halbleiterscheiben
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
JP6841359B1 (ja) * 2020-03-09 2021-03-10 信越半導体株式会社 シリコンエピタキシャルウェーハ製造用サセプタの製造方法及びシリコンエピタキシャルウェーハの製造方法
CN111816604B (zh) * 2020-08-18 2021-03-12 北京智创芯源科技有限公司 一种晶片刻蚀方法
USD1031676S1 (en) 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
CN113910010A (zh) * 2021-11-11 2022-01-11 哈尔滨工业大学 一种硬脆半导体材料的加工方法及其磨削机床
USD1030687S1 (en) * 2022-05-31 2024-06-11 Asm Ip Holding B.V. Susceptor

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990064545A (ko) * 1999-04-08 1999-08-05 윤주길 세라믹 타입 정전척 제조방법
KR20010063395A (ko) * 1999-12-22 2001-07-09 고석태 내식성을 향상시킬 수 있는 정전척의 제조방법 및 그에따른 정전척
KR20020058337A (ko) * 2000-12-29 2002-07-12 박종섭 반도체 소자의 패턴 형성 방법
JP2003059851A (ja) * 2001-08-17 2003-02-28 Asahi Glass Co Ltd ウエハ支持体およびそれを用いた熱処理用ボート
KR20030076681A (ko) * 2001-02-16 2003-09-26 동경 엘렉트론 주식회사 기판으로부터 척으로의 열전달을 위한 방법 및 장치
KR20050012936A (ko) * 2003-07-25 2005-02-02 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
KR20050054950A (ko) * 2002-09-19 2005-06-10 어플라이드 머티어리얼스, 인코포레이티드 미립자 발생도가 낮은 정전기 척 및 그의 제조 방법

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3398033A (en) 1965-02-26 1968-08-20 Dow Corning Method of etching silicon carbide
US4496609A (en) 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
DE2332822B2 (de) 1973-06-28 1978-04-27 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren zum Herstellen von diffundierten, kontaktierten und oberflächenpassivierten Halbleiterbauelementen aus Halbleiterscheiben aus Silizium
US4263336A (en) 1979-11-23 1981-04-21 Motorola, Inc. Reduced pressure induction heated reactor and method
US4698486A (en) 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
DE3603725C2 (de) 1986-02-06 1994-08-18 Siemens Ag Verfahren zur Strukturierung von Siliciumcarbid
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4925608A (en) 1988-09-27 1990-05-15 Norton Company Joining of SiC parts by polishing and hipping
US5084071A (en) 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5011794A (en) 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US4997678A (en) 1989-10-23 1991-03-05 Cvd Incorporated Chemical vapor deposition process to replicate the finish and figure of preshaped structures
DE4033355C2 (de) 1990-10-19 1999-08-26 Siemens Ag Verfahren zum elektrolytischen Ätzen von Siliziumcarbid
JP3204699B2 (ja) 1990-11-30 2001-09-04 株式会社東芝 熱処理装置
US5149338A (en) 1991-07-22 1992-09-22 Fulton Kenneth W Superpolishing agent, process for polishing hard ceramic materials, and polished hard ceramics
US5336355A (en) 1991-12-13 1994-08-09 Hughes Aircraft Company Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films
JP3147977B2 (ja) 1991-12-19 2001-03-19 新日本製鐵株式会社 炭化珪素焼結体製反射ミラー用基板とその製造方法
US5374412A (en) 1992-07-31 1994-12-20 Cvd, Inc. Highly polishable, highly thermally conductive silicon carbide
US5800618A (en) 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5427622A (en) 1993-02-12 1995-06-27 International Business Machines Corporation Method for uniform cleaning of wafers using megasonic energy
JPH06333892A (ja) 1993-03-22 1994-12-02 Fuji Electric Corp Res & Dev Ltd 電子デバイス
US5354580A (en) 1993-06-08 1994-10-11 Cvd Incorporated Triangular deposition chamber for a vapor deposition system
US5417803A (en) 1993-09-29 1995-05-23 Intel Corporation Method for making Si/SiC composite material
FI95421C (fi) 1993-12-23 1996-01-25 Heikki Ihantola Puolijohteen, kuten piikiekon, prosessoinnissa käytettävä laitteisto ja menetelmä
JP2701767B2 (ja) * 1995-01-27 1998-01-21 日本電気株式会社 気相成長装置
CA2218518C (en) 1995-05-05 2002-10-01 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6086680A (en) 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5584936A (en) 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
EP0781739B1 (en) 1995-12-26 1999-10-27 Asahi Glass Company Ltd. Jig for heat treatment and process for fabricating the jig
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP4086936B2 (ja) 1996-10-03 2008-05-14 株式会社ブリヂストン ダミーウェハ
US5895583A (en) 1996-11-20 1999-04-20 Northrop Grumman Corporation Method of preparing silicon carbide wafers for epitaxial growth
US5789309A (en) 1996-12-30 1998-08-04 Memc Electronic Materials, Inc. Method and system for monocrystalline epitaxial deposition
JP3607454B2 (ja) 1997-03-31 2005-01-05 Hoya株式会社 X線マスク用x線透過膜、x線マスクブランク及びx線マスク並びにこれらの製造方法並びに炭化珪素膜の研磨方法
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
KR100660416B1 (ko) 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
JP3011178B2 (ja) 1998-01-06 2000-02-21 住友金属工業株式会社 半導体シリコンウェーハ並びにその製造方法と熱処理装置
JP4043003B2 (ja) 1998-02-09 2008-02-06 東海カーボン株式会社 SiC成形体及びその製造方法
US6099650A (en) 1998-03-03 2000-08-08 Concept Systems Design, Inc. Structure and method for reducing slip in semiconductor wafers
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
WO2000022197A1 (en) 1998-10-14 2000-04-20 Memc Electronic Materials, Inc. Epitaxial silicon wafers substantially free of grown-in defects
US6464912B1 (en) 1999-01-06 2002-10-15 Cvd, Incorporated Method for producing near-net shape free standing articles by chemical vapor deposition
US6251150B1 (en) 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6368410B1 (en) 1999-06-28 2002-04-09 General Electric Company Semiconductor processing article
WO2001024216A2 (en) 1999-09-30 2001-04-05 Lam Research Corporation Pretreated gas distribution plate
JP2001117079A (ja) * 1999-10-18 2001-04-27 Ibiden Co Ltd 液晶表示板用の基板の加熱装置
US6475889B1 (en) 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6528123B1 (en) 2000-06-28 2003-03-04 Sandia Corporation Coating system to permit direct brazing of ceramics
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2002110644A (ja) 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6595506B1 (en) * 2000-11-17 2003-07-22 Epion Corporation Apparatus and method for reduced particulate generation during workpiece handling
US6872636B2 (en) 2001-03-21 2005-03-29 Hitachi Kokusai Electric Inc. Method for fabricating a semiconductor device
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
DE60104395T2 (de) 2001-04-19 2005-07-21 Infineon Technologies Sc300 Gmbh & Co. Ohg Verfahren zum Recycling eines Dummy-Wafers aus Silizium
TW570876B (en) 2001-05-11 2004-01-11 Toyo Seikan Kaisha Ltd Silicon oxide film
US6566623B2 (en) 2001-05-30 2003-05-20 Harvest Precision Components, Inc. Method and apparatus for electric discharge machining with a dressing tool
JP2004040073A (ja) 2002-01-11 2004-02-05 Shipley Co Llc 抵抗器構造物
US20030190870A1 (en) 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces
US7255775B2 (en) * 2002-06-28 2007-08-14 Toshiba Ceramics Co., Ltd. Semiconductor wafer treatment member
FR2843061B1 (fr) 2002-08-02 2004-09-24 Soitec Silicon On Insulator Procede de polissage de tranche de materiau
US7256375B2 (en) 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US6770568B2 (en) 2002-09-12 2004-08-03 Intel Corporation Selective etching using sonication
US20050269732A1 (en) * 2002-09-12 2005-12-08 Koninklijke Philips Electronics N.V. Support plate for semiconductor components
WO2004030411A1 (ja) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. ウエハー保持体及び半導体製造装置
US7704327B2 (en) * 2002-09-30 2010-04-27 Applied Materials, Inc. High temperature anneal with improved substrate support
US6884464B2 (en) 2002-11-04 2005-04-26 Applied Materials, Inc. Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
KR100758965B1 (ko) * 2003-04-02 2007-09-14 가부시키가이샤 사무코 반도체 웨이퍼용 열처리 치구
US7250114B2 (en) 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
KR101116510B1 (ko) * 2003-08-01 2012-02-28 에스지엘 카본 에스이 반도체 제조시 웨이퍼를 지지하는 홀더
JP3761546B2 (ja) 2003-08-19 2006-03-29 株式会社Neomax SiC単結晶基板の製造方法
KR100821607B1 (ko) 2004-01-23 2008-04-15 가부시끼가이샤 도꾸야마 표면에 산화물층을 갖는 비산화물 세라믹스, 그 제조 방법및 그 용도

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990064545A (ko) * 1999-04-08 1999-08-05 윤주길 세라믹 타입 정전척 제조방법
KR20010063395A (ko) * 1999-12-22 2001-07-09 고석태 내식성을 향상시킬 수 있는 정전척의 제조방법 및 그에따른 정전척
KR20020058337A (ko) * 2000-12-29 2002-07-12 박종섭 반도체 소자의 패턴 형성 방법
KR20030076681A (ko) * 2001-02-16 2003-09-26 동경 엘렉트론 주식회사 기판으로부터 척으로의 열전달을 위한 방법 및 장치
JP2003059851A (ja) * 2001-08-17 2003-02-28 Asahi Glass Co Ltd ウエハ支持体およびそれを用いた熱処理用ボート
KR20050054950A (ko) * 2002-09-19 2005-06-10 어플라이드 머티어리얼스, 인코포레이티드 미립자 발생도가 낮은 정전기 척 및 그의 제조 방법
KR20050012936A (ko) * 2003-07-25 2005-02-02 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치

Also Published As

Publication number Publication date
TW200729390A (en) 2007-08-01
TWI327761B (en) 2010-07-21
US20070084827A1 (en) 2007-04-19
US7722441B2 (en) 2010-05-25
EP1772901B1 (en) 2012-07-25
CN1953154A (zh) 2007-04-25
US9490157B2 (en) 2016-11-08
JP2007134688A (ja) 2007-05-31
JP5183053B2 (ja) 2013-04-17
US20090194022A1 (en) 2009-08-06
EP1772901A2 (en) 2007-04-11
KR20070038935A (ko) 2007-04-11
EP1772901A3 (en) 2009-04-01

Similar Documents

Publication Publication Date Title
KR101264395B1 (ko) 반도체 처리 방법
KR101332206B1 (ko) 반도체 처리 방법
TW557473B (en) Semiconductor processing equipment having improved particle performance
US10494713B2 (en) Method of forming an optically-finished thin diamond film, diamond substrate, or diamond window of high aspect ratio
KR100857751B1 (ko) SiC 모니터 웨이퍼의 제조방법
JP2004518526A (ja) 損傷の無い表面の造形のための大気圧反応性原子プラズマ加工装置及び方法
Fang et al. An efficient approach for atomic-scale polishing of single-crystal silicon via plasma-based atom-selective etching
Deng et al. Characterization of 4H-SiC (0001) surface processed by plasma-assisted polishing
Buchkremer-Hermanns et al. ECR plasma polishing of CVD diamond films
US9340871B1 (en) Quality multi-spectral zinc sulfide
US6500488B1 (en) Method of forming fluorine-bearing diamond layer on substrates, including tool substrates
JP4192112B2 (ja) SiC基板表面の平坦化方法
TWI806656B (zh) 半導體製程設備部件及其製作方法
Chen et al. On the polishing techniques of diamond and diamond composites
JP3245320B2 (ja) 硬質炭素膜及び硬質炭素膜被覆部材
Sun Development of plasma-based nano-precision
JP2003003262A (ja) 硬質炭素膜被覆部材の製造方法
Nagakubo et al. Direct bonding between aluminum and silicon by the formation of hydrogen bonds
Su et al. Deep trench process performance enhancements in an MERIE reactor
JPS63286579A (ja) 薄膜の形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160419

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170321

Year of fee payment: 5