KR100788474B1 - 자기 요크 어셈블리 - Google Patents

자기 요크 어셈블리 Download PDF

Info

Publication number
KR100788474B1
KR100788474B1 KR1020067022361A KR20067022361A KR100788474B1 KR 100788474 B1 KR100788474 B1 KR 100788474B1 KR 1020067022361 A KR1020067022361 A KR 1020067022361A KR 20067022361 A KR20067022361 A KR 20067022361A KR 100788474 B1 KR100788474 B1 KR 100788474B1
Authority
KR
South Korea
Prior art keywords
ion
energy
yoke assembly
magnetic
magnetic yoke
Prior art date
Application number
KR1020067022361A
Other languages
English (en)
Other versions
KR20060118621A (ko
Inventor
토마스 엔. 호스키
데일 씨. 제이콥슨
Original Assignee
세미이큅, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/183,768 external-priority patent/US6686595B2/en
Application filed by 세미이큅, 인코포레이티드 filed Critical 세미이큅, 인코포레이티드
Publication of KR20060118621A publication Critical patent/KR20060118621A/ko
Application granted granted Critical
Publication of KR100788474B1 publication Critical patent/KR100788474B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • H01J37/3172Maskless patterned ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26566Bombardment with radiation with high-energy radiation producing ion implantation of a cluster, e.g. using a gas cluster ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

이온 주입 디바이스와 반도체 디바이스의 제조 방법이 기술되어 있고, 이온화된 수소화 붕소 분자 클러스터가 주입되어 P-타입 트랜지스터 구조를 형성한다. 예를 들어, 상보형 금속 산화막 반도체 (CMOS) 디바이스 제조시, 소스와 드레인 구조 및 폴리게이트를 위한 P-타입 도핑을 제공하기 위해 클러스터가 주입되고, 이러한 도핑 단계는 PMOS 트랜지스터를 형성하는데 결정적이다. 분자 클러스터 이온은 화학식 BnHx +와 BnHx -를 갖고, 10 ≤n ≤100, 0 ≤x ≤n+4이다.

Description

자기 요크 어셈블리{MAGNETIC YOKE ASSEMBLY}
도 1a는, 본 발명에 따른 예시적인 고 전류 클러스터 이온 주입 시스템의 개략도.
도 1b는, 도 1a에 사용된 주입 시스템에 사용된 가속-감속 전극의 개략도.
도 1c는, 본 발명에 따른 고 전류 클러스터 이온 주입 시스템의 대안적인 실시예를 나타낸 도면.
도 1d는, 본 발명에 따른 고 전류 클러스터 이온 주입 시스템의 또 다른 대안적인 실시예를 나타낸 도면.
도 1e는, 본 발명에 따른 예시적인 중간 전류 클러스터 이온 주입 시스템의 개략도.
도 2는, 방정식(1)의 Child-Langmuir 법칙에 따라 최대 11B+ 빔 전류 대 추출 에너지를 나타낸 그래프 다이어그램.
도 3은, 내부 부품을 노출하기 위해 절단해서 도시한, 본 발명에 따른 이온 소스의 사시도.
도 4a는, 도 3에 도시된 이온 소스의 일 실시예의 한 부분을 나타낸 측면도로, 전자빔과 이 위에 겹쳐 도시된 자기장을 갖도록 절단해서 도시한, 측면도.
도 4b는, 도 4a와 유사하지만, 두 개의 전자빔 소스를 갖는 대안적인 형태를 예시한, 도면.
도 5a는, 도 3의 클러스터 이온 소스의 사시도로, 이온화 영역의 세부사항을 나타낸, 도면.
도 5b는, 도 5a와 유사하지만, 두 개의 전자빔 소스를 갖는 대안적인 형태를 예시한, 도면.
도 5c는, 도 5b에 예시한 이온 소스의 전자빔 형성 영역의 간단한 평면도.
도 6은, 본 발명의 이온 소스에 사용된 3개 영역 온도 제어 시스템을 나타낸 도면.
도 7a는, 영구 자석을 포함한 자기 회로를 예시한, 자기 요크 어셈블리의 사시도.
도 7b는, 본 발명의 이온 소스의 이온화 챔버로 통합된 자기 요크 어셈블리의 사시도.
도 7c는, xy 평면에서 자기 요크 어셈블리의 단면을 통한 자기 플럭스를 예시한 도면.
도 7d는, 도 7a에 예시한, 전자석을 포함한 자기 요크 어셈블리의 대안적인 실시예의 사시도.
도 7e는, 도 7d에 예시한 실시예에 관한 것이라는 점을 제외하고, 도 7b와 유사한 도면.
도 7f는, yz 평면에서, 도 7e에 도시한 자기 요크 어셈블리의 단면을 통한 자기 플럭스를 예시한 도면.
고 7g는, xz 평면에서 자기 플럭스를 예시한다는 점을 제외하고, 도 7f와 유사한 도면.
도 7h는, 도 7b의 요크 어셈블리와 전자총간의 높은 투과율 (permeability)의 자기 차폐를 갖는, 본 발명의 이온 소스를 나타낸 도면.
도 8a는, 본 발명의 이온 소스를 사용해서, 옥타데카보란의 빔 전류와 증기압 대(對) 증기화 장치의 온도를 그래프로 나타낸 도면.
도 8b는, 볼과 막대를 이용한 B18H22 분자 모델.
도 9는, 높은 질량 분해능으로 수집되고, 본 발명의 이온 소스를 이용해서 생성된 B18H22의 양이온 질량 스펙트럼을 그래프로 나타낸 도면.
도 10은, 모두 높은 질량 분해능으로 수집되고, 본 발명의 이온 소스를 이용해서 생성된, B18H22의 양이온 질량 스펙트럼과 중첩된 B18H22의 음이온 질량 스펙트럼을 그래프로 나타낸, 도면.
도 11a는, 낮은 질량 분해능으로 수집되고, 본 발명의 이온 소스를 이용해서 생성된 B18H22의 양이온 질량 스펙트럼을 그래프로 나타낸, 도면.
도 11b는, 가장 높은 질량 분해능으로 수집되고, 수평 스케일이 확대되어 개별 이온 질량이 분해될 수 있는, 본 발명의 이온 소스를 이용해서 생성된 B18H22의 양이온 질량 스펙트럼을 그래프로 나타낸, 도면.
도 12는, 본 발명의 클러스터 이온 주입 시스템을 이용해서 웨이퍼 위치 근처에서 측정한, 빔 추출 에너지의 함수로 B18Hx + 빔 전류를 그래프로 나타낸, 도면.
도 13은, 본 발명의 클러스터 이온 주입 시스템을 이용해서, 붕소 주입 에너지의 함수로 붕소 선량률 (B18Hx + 주입을 이용)로 변환된 도 12의 데이터를 그래프로 나타낸 도면.
도 14는, NMOS 드레인 확장부 (NMOS drain extension) 형성 중 CMOS 제조 순서를 나타낸 도면.
도 15는, PMOS 드레인 확장부 형성 중 CMOS 제조 순서를 나타낸 도면.
도 16은, N-타입 드레인 확장부 주입 단계에서, NMOS 반도체 디바이스 제조 공정 중 반도체 기판을 나타낸 도면.
도 17은, 소스/드레인 주입 단계에서, NMOS 반도체 디바이스 제조 공정 중 반도체 기판을 나타낸 도면.
도 18은, P-타입 드레인 확장부 주입 단계에서, PMOS 반도체 디바이스 제조 공정 중 반도체 기판을 나타낸 도면.
도 19는, 소스/드레인 주입 단계에서, PMOS 반도체 디바이스 제조 공정 중 반도체 기판을 나타낸 도면.
도 20은, 본 발명의 클러스터 이온 주입 시스템에 의해 규소 웨이퍼 안으로 주입된 20 keV의 B18Hx + 이온빔으로부터 붕소 농도의 주입 SIMS 프로파일을 그래프로 나타낸 도면.
도 21은, 암모니아(NH3)에 대한 전자 에너지(T) 함수로 이온화 단면을 그래프로 나타낸 도면.
본 출원은, "이온 주입 디바이스와, 수소화 붕소 클러스터 이온의 주입을 통한 반도체 제조 방법"이라는 발명의 명칭으로 2003년 4월 18일자로 출원된 미국 임시 특허 출원 번호 제 60/463,965호와, "전자 충격식 이온 소스"라는 발명의 명칭으로 2002년 6월 26일자로 출원된 미국 특허 출원 번호 제 10/183,768호의 부분 계속 출원이다.
본 발명은, 이온화된 수소화 붕소 분자로부터 형성된 이온 빔의 주입을 통해 P-타입 도핑이 이루어지는 반도체 제조 방법에 관한 것으로, 상기 이온의 형태는 BnHx +와 BnHx -이고, 여기서 10 ≤n ≤100이고, 0 ≤x ≤n+4이다.
이온 주입 공정
반도체 디바이스의 제조는, 부분적으로, 도핑 영역을 형성하기 위해 반도체 기판에 불순물을 주입하는 것을 필요로 한다. 불순물 원소는, 전기 운반체를 형성해서, 반도체 물질의 전기 전도성을 변화시키기 위해, 반도체 물질과 적절히 결합하도록 선택된다. 전기 운반체는 전자 (N-타입 도핑제에 의해 형성) 또는 홀 (P-타 입 도핑제에 의해 형성) 중 하나 일 수 있다. 이렇게 주입된 도핑제 불순물의 농도는 결과적으로 생성된 영역의 전기 전도성을 결정한다. 이러한 많은 N-타입과 P-타입 불순물 영역은 트랜지스터 영역, 분리 영역 및 이와 다른 전기 영역을 형성하기 위해 생성되어야만 하고, 이는 총체적으로 반도체 디바이스로 작용한다.
반도체 기판에 도핑제를 주입하는 종래의 방법은 이온 주입을 통해서이다. 이온 주입시, 원하는 원소를 함유한 공급 물질이 이온 소스로 주입되고, 공급 물질을 이온화하기 위해 에너지가 주입되어, 도핑제 원소 (예를 들어, 규소에서 원소 75As, 31P, 및 121Sb는 도너 또는 N-타입 도핑제인 반면, 11B와 115In은 엑셉터 또는 P-타입 도핑제임)를 함유한 이온을 생성한다. 전기장의 가속화는 전형적으로 양전하를 띠는 이온을 추출하고 가속화하도록 제공되어, 이온빔을 생성한다 (특정한 경우, 음전하를 띠는 이온이 대신 사용될 수 있음). 다음으로, 기술 분야에서 알려진 바와 같이, 주입될 종을 선택하기 위해서 질량 분석이 사용되고, 다음으로, 질량 분석된 이온빔은, 반도체 기판이나 소재 (workpiece)로 향하기 전 그 최종 속도를 바꾸거나 또는 그 공간 분포를 바꾸는 이온 광학장치를 통과한다. 가속화된 이온은 명확한 운동 에너지를 갖고, 이 운동 에너지는 이온이 각각의 에너지 값에서 명확하고 미리 결정된 깊이로 타깃을 투과할 수 있도록 한다. 이온의 에너지와 질량 이 두 가지는 타깃에 대한 투과 깊이를 결정하고, 더 큰 에너지 및/또는 더 작은 질량은 이들 속도로 인해 타깃에 대한 보다 깊은 투과를 허용한다. 이온 주입 시스템은 이온 에너지, 이온 질량, 이온 빔 전류 (단위 시간 당 전기 전하), 및 타깃에 대한 이온 선량 (ion dose) (타깃을 투과하는 단위 면적 당 이온의 총 개수)과 같이, 주입 공정의 임계 변수를 신중하게 조절하도록 이루어진다. 또한, 반도체 디바이스의 양품률을 유지하기 위해, 빔 각 발산 (이온이 기판에 충돌하는 각의 변화)과, 빔의 공간 균일성과 크기를 또한 조절해야 한다.
반도체 제조의 주요 공정은 반도체 기판 내에 P-N 접합의 생성이다. 이는 P-타입과 N-타입 도핑의 인접 영역 형성을 필요로 한다. 이러한 접합 형성의 중요한 예는, 균일한 분포의 N-타입 도핑제를 이미 함유하고 있는 반도체 영역에 P-타입 도핑제를 주입하는 것이다. 이러한 경우, 중요한 매개변수는 접합 깊이로, 이는 P-타입과 N-타입 도핑제가 동일한 농도를 갖는 반도체 표면으로부터의 깊이로 정의된다. 이 접합 깊이는 주입된 도핑제의 질량, 에너지 및 선량의 함수이다.
최근 반도체 기술의 중요한 양상은 더 작고 보다 빠른 디바이스로의 연속적인 발전이다. 이 공정을 스케일링(scaling)이라 부른다. 스케일링은 리쏘그래픽 공정 방법의 연속 진행을 통해 이루어지고, 집적 회로를 포함한 반도체 기판에서 훨씬 더 작은 특징부를 한정하도록 한다. 일반적으로 허용된 스케일링 이론은, 반도체 디바이스 디자인의 모든 양상을 동시에, 즉 각각의 기술이나 스케일링 노드에서 적절히 리사이징하는 칩 제조업자들을 안내하기 위해 발전되었다. 이온 주입 공정에 대한 스케일링의 최대 영향은 접합 깊이의 스케일링으로, 이는 디바이스의 치수가 증가할 때 점진적으로 미세한 접합을 필요로 한다. 집적 회로 기술이 높아짐에 따라 점진적으로 미세한 접합을 위한 이러한 요건은 다음 요건으로 바뀐다. 즉, 이온 주입 에너지는 각각의 스케일링 단계에 따라 감소되어야만 한다. 최근에 요구되 는 극히 미세한 접합인 0.13 미크론 이하의 디바이스를 "초미세 접합(Ultra-Shallow Junction)", 또는 USJ라고 부른다.
저 에너지 빔 운반에 대한 물리적인 한계
CMOS 공정에서 접합 깊이의 강력한 스케일링 때문에, 중요한 많은 주입에 필요한 이온 에너지는, 종래의 이온 주입 시스템 (훨씬 더 높은 에너지 빔을 발생시키도록 원래 개발된)이 웨이퍼에 훨씬 감소된 이온 전류를 전달해서, 웨이퍼 처리량 (wafer throughput)을 감소시키는 지점까지 줄어들었다. 낮은 빔 에너지에서 종래의 이온 주입 시스템의 한계는, 이온 소스로부터 이온의 추출과, 이후 주입기의 빔 라인을 통한 운반시 가장 분명하다. 이온 추출은, 추출된 빔 전류 밀도가 3/2 제곱으로 증가한 추출 전압 (즉, 추출시 빔 에너지)에 비례한다고 기술한 Child-Langmuir 관계식에 의해 좌우된다. 도 2는, 추출된 붕소 빔의 최대 전류 대 추출 전압의 그래프이다. 단순화하기 위해서, 추출된 빔에는 11B+ 이온만이 존재한다고 가정했다. 도 2는, 에너지가 감소함에 따라, 추출 전류가 급격히 감소하는 것을 보여준다. 종래의 이온 주입기에서, 이러한 방식의 "추출 제한" 작업은 약 10keV 미만의 에너지에서 볼 수 있다. 이와 유사한 제한은 추출 후 저 에너지 빔의 운반에 영향을 미친다. 저 에너지 이온빔은 유사한 속도로 이동해서, 주어진 빔 전류 값에 대해, 이온이 보다 가까워지고, 즉 이온 밀도가 증가한다. 이는 관계식 J = ηeV에서 볼 수 있는데, 여기서 J는 mA/cm2 단위의 이온빔 전류 밀도이고, η는 이온/cm-3 단위의 이온 밀도이며, e는 전하 (= 6.02 ×10-19 쿨롱)이고, V는 cm/s 단위의 평균 이온 속도이다. 또한, 이온간 정전기력은 이들 사이의 거리의 제곱에 반비례하기 때문에, 정전기 반발은 낮은 에너지에서 훨씬 더 강하고, 이에 따라 이온 빔의 분산을 증가시킨다. 이러한 현상을 "빔 블로우 업 (beam blow-up)"이라고 하고, 이는 저 에너지 운반시 빔 손실의 주요 원인이다. 주입기 빔 라인에 존재하는 저 에너지 전자는 양 전하를 띤 이온빔에 의해 포획되어, 운반 중에 공간 전하의 블로우 업 (space-charge blow-up)을 보상하는 경향이 있지만, 여전히 블로우 업이 일어나고, 느슨하게 결합되어 있고 이동성이 큰 보상 전자를 빔으로부터 제거하는 경향이 있는 정전기 초점 렌즈 존재시 가장 강하다. 특히, P 타입 도핑제인 붕소와 같이 질량이 단지 11amu인 가벼운 이온에 대해 심각한 추출과 운반의 어려움이 존재한다. 가볍기 때문에, 붕소 원자는 다른 원자보다 기판 안으로 더 투과하고, 이에 따라 붕소에 대해 필요한 주입 에너지는 다른 주입 종보다 더 작다. 사실상, 1 keV 미만의 극히 낮은 주입 에너지가 특정한 리딩 에지 USJ 공정에 필요하다. 실제로, 전형적인 BF3 소스 플라즈마로부터 추출 및 운반된 대부분의 이온은 원하는 이온 11B+가 아니고, 오히려 19F+49BF2 +와 같은 이온 조각이다. 이러한 것은 추출된 이온빔의 전하 밀도와 평균 질량을 증가시키고, 또한 공간과 전하의 블로우 업을 증가시킨다. 주어진 빔 에너지에 대해, 질량이 증가하면, 빔의 퍼비언스 (beam perveance)는 훨씬 더 커진다. 더 무거운 이온은 더 느리게 움직이기 때문에, 이온 밀도(η)는 주어진 빔 전류에 대해 증가해서, 상기 논의에 따라 공간 전하 효과를 증가시킨다.
분자 이온 주입
앞에서 논의된 Child-Langmuir 관계식에 의해 부과된 제한을 극복하는 한 가지 방법은, 해당 도핑제를 함유한 분자가 아니라, 하나의 도핑제 원자를 이온화함으로써 도핑제 이온의 운반 에너지를 증가시키는 것이다. 이 방법에서, 분자의 운동 에너지는 운반 중에 더 커지지만, 기판에 들어갈 때, 분자는 그 구성 원자로 분해되어, 이들의 질량 분포에 따라 개별 원자간에 분자 에너지를 나누어서, 도핑제 원자의 주입 에너지는 분자 이온의 원래 운반 운동 에너지보다 훨씬 더 작다. 라디컬 "Y"에 결합되어 있는 도핑제 원자 "X"를 고려해보자 (논의를 위해 "Y"가 디바이스 형성 공정에 영향을 미치는지의 문제는 고려하지 않음). 이온 XY+가 X+ 대신 주입된다면, XY+는 더 높은 에너지에서 추출 및 운반되고, X의 질량으로 나누어진 XY의 질량과 동일한 인수만큼 증가해야만 한다. 이는 어느 한 가지 경우 X의 속도가 동일하다는 것을 보장한다. 앞에서 기술된 Child-Langmuir 관계식에 의해 기술된 공간-전하 효과는 에너지에 관해서 초선형이고, 운반 가능한 최대 이온 전류는 증가한다. 종래부터, 저 에너지 주입 문제를 개선하기 위해 다원자 분자를 사용하는 것이 기술 분야에 잘 알려져 있다. 한 가지 일반적인 예는, 저 에너지 붕소를 주입 하기 위해, B+ 대신 BF2 + 분자 이온을 사용하는 것이었다. 이러한 공정은 주입을 위해 BF3 공급 기체를 BF2 + 이온으로 분해한다. 이러한 방식으로, 이온 질량은 49 AMU로 증가해서, 단일 붕소 원자를 사용한 것보다 4배 이상 (즉, 49/11) 추출 및 운반 에너지를 증가시킨다. 그러나, 주입시, 붕소 에너지는 (49/11)의 동일 인수만큼 감소한다. 이러한 접근은 빔의 전류 밀도를 감소시키지 않는다는 점을 주목할 필요가 있는데, 이는 빔에서 단위 전하 당 오직 하나의 붕소 원자만이 존재하기 때문이다. 또한, 이 공정은 붕소와 더불어 반도체 기판에 플루오르 원자를 또한 주입하고, 플루오르가 반도체 디바이스에 좋지 않은 영향을 나타내는 것으로 알려져 있기 때문에, 이 기술의 바람직하지 않은 특징이다.
클러스터 주입
원칙적으로, 앞에서 논의한 XY 모델보다 선량률을 증가시키는 보다 효과적인 방법은 도핑제 원자 클러스터, 즉 XnYm + 형태의 분자 이온을 주입하는 것으로, n과 m은 정수이고, n은 1보다 크다. 최근, 이온 주입을 위해 공급 물질로 데카보란(decaborane)을 사용한 세미나 연구가 있었다. 주입된 입자는 데카보란 원자 (B10H14)의 양이온으로, 이는 10개의 붕소 원자를 포함하고, 따라서, 붕소 원자의 "클러스터"이다. 이 기술은 이온 질량과, 이에 따른 운반 이온 에너지를 증가시킬 뿐만 아니라, 주어진 이온 전류에 대해, 실질적으로 주입된 선량률을 증가시키는데, 이는 데카보란 이온 (B10Hx +)이 10개의 붕소 원자를 갖고 있기 때문이다. 이온빔에서 운반된 전기 전류를 크게 감소시킴으로써 (데카보란 이온의 경우 10배), 빔 공간-전하 효과가 감소해서, 빔 투과율을 증가시킬 뿐만 아니라, 웨이퍼 하전 효과가 또한 감소한다는 점이 중요하다. 양이온 충격 (positive bombardment)은 웨이퍼를 하전시킴으로써, 특히, 민감한 게이트 분리에 손상을 줌으로써 디바이스 양품률을 감소시키는 것으로 알려져 있기 때문에, 클러스터 이온빔의 사용을 통한 이러한 전류의 감소는, 점진적으로 보다 얇은 게이트 산화물을 제공하고, 게이트 문턱 전압을 크게 낮추어야만 하는 USJ 디바이스 제조에 매우 적합하다. 따라서, 현재 반도체 제조 산업이 직면하고 있는 두 가지 분명한 문제, 즉 웨이퍼 하전과 저 에너지 이온 주입시 낮은 생산성을 해결할 중대한 필요성이 있다. 본 명세서에서 나중에 설명하는 바와 같이, 본 발명은 n > 10인 상당히 더 큰 수소화 붕소를 사용함으로써 클러스터 주입의 이점을 더 증가시킬 것을 제안한다. 특히, B18Hx + 이온을 주입했고, 고형의 공급 물질인 옥타데카보란(B18H22)을 사용해서 B36Hx + 이온을 주입할 것을 추가로 제안한다. 이 기술은, 붕소 클러스터 주입시 이전의 효과에 비해 상당한 진전인 것을 나타내는 첫 번째 결과를 제공할 것이다.
이온 주입 시스템
이온 주입기는 종래부터 고 전류, 중간 전류, 고 에너지 주입기의 세 가지 기본 범주로 나누어졌다. 클러스터 빔은 고 전류와 중간 전류 주입 공정에 유용하다. 특히, 현재의 고 전류 주입기는, 드레인 구조와 같은 트랜지스터의 저 에너지, 고 선량 영역과 폴리실리콘 게이트의 도핑을 형성하는데 일차적으로 사용된다. 이러한 주입기는 전형적으로 배치 주입기(batch implanter)로, 즉 회전 디스크 위에 장착된 많은 웨이퍼를 가공하고, 이온빔은 정류 상태로 유지된다. 고 전류 운반 시스템은 중간 전류 운반 시스템보다 더 단순한 경향이 있고, 이온빔을 많이 받아들인다. 저 에너지와 고 전류에서, 종래 기술의 주입기는 큰 경향이 있는 기판에서, 큰 각 발산 (예를 들어, 최대 7도의 반각)을 갖는 빔을 생성한다. 이와 반대로, 중간 전류 주입기는 전형적으로 시리얼 (한 번에 하나의 웨이퍼) 공정 챔버가 결합되어, 높은 기울기 능력(tilt capability)(예를 들어, 수직 기판으로부터 최대 60도)을 제공한다. 이온빔은 전형적으로 고 주파수 {하나의 크기(예를 들어, 측면)가 최대 약 2 킬로헤르쯔인}에서 웨이퍼 전체에 전자기 또는 전기역학적으로 스캐닝되고, 직교 방향 (예를 들어, 수직)에서 1 헤르쯔 미만의 저 주파수에서 기계적으로 스캐닝되어, 영역을 덮고, 기판 전체에 선량 균일성을 제공한다. 중간 전류 주입을 위한 공정 요건은 고 전류 주입을 위한 요건보다 더 복잡하다. 단지 몇 퍼센트 차이의 전형적으로 상업적인 주입 선량의 균일성과 재현성 요건을 만족시키기 위해, 이온빔은 우수한 각 및 공간 균일성을 가져야만 한다 (예를 들어, 웨이퍼에 대한 빔의 각 균일성은 1° 이하). 이러한 요건 때문에, 중간 전류 빔 라인은 사용 (acceptance)이 줄어들면서도 빔 제어를 보다 잘 하도록 구성된다. 즉, 주입기를 통한 이온의 투과 효율은 이온빔의 이미턴스(emittance)에 의해 제한된다. 현재, 저 에너지 (10 keV 이하)에서 보다 높은 전류의 (약 1mA) 이온빔 생성은, 시리얼 주입기에서 문제가 되기 때문에, 웨이퍼 처리량 (wafer throughput)은 특정한 저 에너지 주입 (예를 들어, 리딩 에지 CMOS 공정에서 소스와 드레인 구조 생성시)에 대해 허용되지 않을 정도로 낮다. 이와 유사한 운반 문제가 또한 이온 당 5 keV 이하의 낮은 빔 에너지에서 배치 주입기 (회전 디스크에 장착된 많은 웨이퍼 가공)에 대해서도 존재한다.
수차(aberration)가 거의 없는 빔 운반 광학장치의 설계가 가능하지만, 이온빔의 특징 (공간 크기, 공간 균일성, 각 발산 및 각 균일성)은 대부분 이온 소스 자체의 이미턴스 특성 (즉, 주입기 광학 장치가 이온 소스로부터 방출된 빔을 모아 조절할 수 있는 범위를 결정하는 이온 추출시 빔의 특성)에 의해 결정된다. 모노머 빔 (monomer beam) 대신 클러스터 빔을 사용하면, 빔 운반 에너지를 증가시키고 빔에 의해 운반된 전기 전류를 감소시켜서 이온빔의 이미턴스를 크게 향상시킬 수 있다. 그러나, 종래 기술의 이온 주입용 이온 소스는 필요한 N-타입과 P-타입 도핑제의 이온화 클러스터를 생성 또는 유지하는데 효과적이지 않다. 그래서, 초점이 더 잘 맞고, 더 평행하며, 보다 엄밀하게 조절된 이온빔을 타깃에 제공하고, 또한, 반도체 제조시 보다 효과적인 선량률과 보다 많은 처리량을 제공할 수 있도록, 클러스터 이온과 클러스터 이온 소스 기술에 대한 필요성이 있다.
반도체를 도핑하기 위한 빔 라인 이온 주입에 대한 대안적인 접근 방식은 소위 "플라즈마 이머션 (plasma immersion)"이다. 이 기술은 반도체 산업에서 PLAD (플라즈마 도핑), PPLAD (펄스 플라즈마 도핑) 및 PI3 (플라즈마 이머션 이온 주입)과 같은 여러 가지 다른 이름으로 알려져 있다. 이러한 기술을 이용한 도핑은, 진공으로 된 다음, 트리플루오르화 붕소, 디보란, 아르신(arsine), 또는 포스핀과 같은 선택 도핑제를 함유한 기체로 다시 채워진 커다란 진공 용기 안에 플라즈마를 충돌시키는 단계를 필요로 한다. 플라즈마는 정의한 바와 같이, 이 안에 양이온, 음이온 및 전자를 갖는다. 다음으로, 타깃은 음으로 바이어싱되어, 플라즈마의 양이온들이 타깃 쪽으로 가속화되게 한다. 이온 에너지는 방정식 U = QV를 통해 설명되는데, U는 이온의 운동 에너지이고, Q는 이온의 전하이며, V는 웨이퍼의 바이어스이다. 이러한 기술을 이용하면, 질량 분석이 없다. 플라즈마의 모든 양이온이 가속화되어, 웨이퍼로 주입된다. 따라서, 매우 깨끗한 플라즈마가 생성되어야만 한다. 디보란 플라즈마를 도핑하는 이러한 기술을 이용하면, 포스핀 또는 아르신이 생성되고, 다음으로 웨이퍼에 음 바이어스가 가해진다. 바이어스는 시간에 따라 일정하거나, 시간에 따라 변하거나, 또는 펄스형일 수 있다. 선량은 용기 안의 증기 압력과, 온도와, 바이어스 전압의 바이어싱 및 듀티 사이클(duty cycle)의 크기와, 타깃에 대한 이온 도달 속도 사이의 관계식을 파악함으로써 매개 변수적으로 조절될 수 있다. 타깃에 대한 전류를 또한 직접 측정할 수 있다. 플라즈마 도핑은 발전에 있어서 새로운 기술로 간주되지만, 특히 커다란 포맷 (예를 들어, 300mm) 웨이퍼에 대해, 웨이퍼마다 저 에너지, 많은 선량 주입을 실행하는 비용을 줄이는 잠재력이 있기 때문에 바람직하다. 일반적으로, 이러한 시스템의 웨이퍼 처리량은, 가 공 챔버를 진공으로 만드는 단계와, 퍼징 단계와, 웨이퍼 또는 웨이퍼 배치가 가공 챔버 안으로 들어갈 때마다 공정 기체를 재 주입하는 단계를 포함하는 웨이퍼 처리 시간에 의해 제한된다. 이러한 요건은, 플라즈마 도핑 시스템의 처리량을 약 100개의 시간 당 웨이퍼 (WPH)로 줄였는데, 이는 200 WPH 이상을 가공할 수 있는 빔 라인 이온 주입 시스템의 최대 기계 처리 능력보다 상당히 작다.
음이온 주입
최근, 음이온 주입은 양이온 주입에 비해 이점을 제공하는 것으로 인식되었다 {예를 들어, Junzo Ishikawa 등의 "음이온 주입 기술", 핵 기기와 물리학 연구 방법 B 96 (1995) 7 - 12}. 음이온 주입의 한 가지 매우 중요한 이점은, CMOS 제조시 VLSI 디바이스의 이온 주입을 통해 유발된 표면 하전을 줄인다는 점이다. 일반적으로, 양이온의 고 전류 (약 1mA 이상) 주입은 게이트 산화물과 반도체 디바이스의 다른 성분에 양 전위를 일으키고, 이는 게이트 산화물 손상 문턱을 쉽게 넘을 수 있다. 양이온이 반도체 다바이스 표면에 부딪치면, 알짜 양전하 (net positive charge)를 쌓이게 할 뿐만 아니라, 이와 동시에 2차 전자를 자유롭게 해서, 하전 효과를 증가시킨다. 따라서, 이온 주입 시스템의 장비 공급업자는, 주입 공정 동안 양으로 하전된 이온빔과 디바이스 웨이퍼 표면에 저 에너지 전자를 주입하기 위해서, 소위 전자 흐름 총 (electron flood gun)와 같은 복잡한 전하 조절 디바이스를 개발했다. 이러한 전자 흐름 시스템은 제조 공정에 추가 변수를 도입하고, 표면 하전으로 인한 양품률 손실을 완전히 제거할 수 없다. 반도체 디바이스는 점점 더 작 아지기 때문에, 트랜지스터 작동 전압과 게이트 산화물 두께는 마찬가지로 더 작아져서, 반도체 디바이스 제조시 손상 문턱을 줄이고, 양품률을 또한 감소시킨다. 따라서, 음이온 주입은 많은 리딩 에지 공정을 위한 종래의 양이온 주입에 비해 잠재적으로 양품률의 실질적인 향상을 제공한다. 불행하게도, 이 기술은 상업적으로 아직 이용할 수 없고, 출원인이 아는 한, 음이온 주입은 연구와 개발에서도 집적 회로를 제조하는데 사용되지 않았다.
본 발명의 목적은, 반도체 기판에 P-타입 (즉, 엑셉터) 전도성의 초미세 불순물 도핑 영역을 형성할 수 있는, 반도체 디바이스 제조 방법을 제공하고, 또한 높은 생산성으로 이를 수행하는 것이다.
본 발명의 다른 목적은, 반도체 디바이스 제조 방법을 제공하는 것으로, 이 방법은, BnHx +와 BnHx - (10 < n < 100, 0 ≤x ≤n+4) 형태의 이온화 클러스터를 이용해서 반도체 기판에 P-타입 (즉, 엑셉터) 전도성의 초미세 불순물 도핑 영역을 형성할 수 있다.
본 발명의 추가 목적은, B18Hx + 또는 B18Hx - (x는 22 이하의 정수) 형태의 옥타데카보란(B18H22)의 이온화 분자를 주입함으로써 반도체 디바이스를 제공하는 것이다.
본 발명의 또 다른 목적은, 반도체 디바이스를 제조하기 위한 이온 주입 시 스템을 제공하는 것으로, 이 이온 주입 시스템은 클러스터 이온을 사용함으로써 반도체 기판에 N 또는 P 전도성 타입의 초미세 불순물 도핑 영역을 형성하도록 설계되었다.
본 발명의 한 가지 양상에 따라, 이온화 챔버에 복수의 도핑제 원소를 각각 함유한 분자를 공급하는 단계와, 상기 분자를 도핑제 클러스터 이온으로 이온화하는 단계와, 전기장으로 도핑제 클러스터 이온을 추출 및 가속화시키는 단계와, 질량 분석을 통해 원하는 클러스터 이온을 선택하는 단계와, 사후 분석 이온 광학장치를 통해 클러스터 이온의 최종 주입 에너지를 바꾸는 단계와, 반도체 기판에 도핑제 클러스터 이온을 주입하는 단계를 포함하는, 클러스터 이온 주입 방법이 제공된다.
본 발명의 목적은, 반도체 디바이스 제조업자가 한 번에 하나의 원자를 주입하기보다 n개의 도핑제 원자 (B18Hx +의 경우 n = 18) 클러스터를 주입함으로써 저 에너지 이온빔을 추출할 때의 어려움을 개선시킬 수 있는 방법을 제공하는 것이다. 클러스터 이온 주입 접근법은, 에너지가 훨씬 더 낮은 단원자 주입에 상당하는 것을 제공하는데, 이는 클러스터의 각 원자가 약 E/n의 에너지로 주입되기 때문이다.
그래서, 주입기는, 특히 USJ 형성에 의해 요구되는 낮은 주입 에너지에서, 더 높은 이온빔 전류를 가능하게 하는 필요한 주입 에너지보다 약 n배가 더 큰 추출 전압에서 작동한다. 또한, 클러스터 전류의 각 밀리암페어는 모노머 붕소의 18mA에 상당하는 것을 제공한다. 이온 추출 단계를 고려할 때, 클러스터 이온 주입 에 의해 가능한 운반 효율의 상대적인 개선은 Child-Langmuir 한계를 측정함으로써 정량될 수 있다. 이러한 한계는,
*(1) Jmax = 1.72(Q/A)1/2V3 /2d-2에 의해 근사화될 수 있는 것으로 인식되는데,
Jmax는 mA/cm2이고, Q는 이온 전하 상태이며, A는 AMU 단위의 이온 질량이고, V는 kV 단위의 추출 전압이며, d는 cm 단위의 간격 폭이다. 도 2는, d가 1.27cm인 11B+의 경우에 대한 방정식(1)의 그래프로, 추출된 빔의 평균 질량은 15AMU로 가정된다. 실제, 많은 이온 주입기에 의해 사용되는 추출 광학장치는 이러한 한계에 접근하게 만들어질 수 있다. 방정식(1)을 전개함으로써, 다음의 장점 수 (figure of merit)인 △는, 모노머 주입에 대한 클러스터 이온 주입의 처리량 증가, 또는 주입된 선량률을 정량화하도록 한정될 수 있다.
(2) △ = n(Un/U1)3/2(mn/m1)-1/2
여기서, △는, 에너지 U1에서 질량 m1인 원자의 단일 원자 주입에 대해, 에너지 Un에서 해당 도핑제의 n개의 원자를 갖는 클러스터를 주입함으로써 이루어진 선량률(원자/초)의 상대적인 향상으로, Ui는 eV이다. 단원자 (n=1) 경우와 동일한 도핑제 주입 깊이를 제공하도록 Un이 조절된 경우, 방정식(2)는,
(3) △ = n2으로 줄어든다.
그래서, n개의 도핑제 원자를 갖는 클러스터의 주입은 종래의 단일 원자 주입보다 더 큰 선량률인 n2을 제공하는 잠재력을 갖고 있다. B18Hx의 경우, 이러한 최대 선량률 향상은 300 이상이다. 이온 주입을 위한 클러스터 이온의 사용은, 분명히 저 에너지 (특히 1 keV 미만) 이온빔의 운반을 다루고 있다. 클러스터 이온 주입 공정은, 종래의 경우에서와 같이, 하나의 전기 전하를 운반하는 각각의 도핑제 원자를 갖기보다, 클러스터 당 하나의 전기 전하만을 필요로 한다는 점을 주목해야 한다. 이에 따라 운반 효율 (빔 투과율)이 향상되는데, 이는 쿨롱 분산력이 전하 밀도의 감소에 따라 줄어들기 때문이다. 이러한 특징은 웨이퍼 하전을 감소시키고, 주어진 선량률 때문에, 웨이퍼에 입사되는 전기 빔 전류가 크게 줄어든다는 점이 중요하다. 또한, 본 발명은 B18Hx -와 같이 매우 많은 양의 수소화 붕소 음이온을 만들기 때문에, 높은 선량률로 음이온 주입을 상품화할 수 있다. 음이온 주입은 양이온 주입보다 더 적은 웨이퍼 하전을 만들고, 이러한 전기 전류는 또한 클러스터를 사용함으로써 훨씬 더 감소하기 때문에, 웨이퍼 하전에 의한 양품률 손실이 또한 줄어들 수 있다. 그래서, 하나의 원자보다 n개의 도핑제 원자의 클러스터로 주입하는 것은, 저 에너지 이온 주입의 기본적인 운반 문제를 개선하고, 훨씬 더 생산적인 공정을 가능하게 한다.
이러한 방법의 실행은 클러스터 이온의 형성을 필요로 한다. 상업적인 용도 의 이온 주입기에서 사용된 종래 기술의 이온 소스는, 모노머의 제조에 비해 적은 비율의 주로 낮은 차수 (예를 들어, n=2)의 클러스터만을 생성하고, 이에 따라, 이러한 주입기는 상술된 저 에너지 클러스터 빔의 주입 이점을 효과적으로 실현할 수 없다. 실제로, 종래의 많은 이온 소스에 의해 제공된 강력한 플라즈마는 오히려 분자를 분해시키고, 클러스터를 그 구성 원소로 분해한다. 본 명세서에 기술된 새로운 이온 소스는, "소프트" 이온화 공정, 즉 전자 충격 이온화 (electron-impact ionization)를 사용하기 때문에 클러스터 이온을 다량 생성한다. 본 발명의 이온 소스는 도핑제 클러스터 이온을 생성 및 유지하기 위해 특별하게 설계되었다. 이온을 생성하기 위해 아크 방전 플라즈마를 쪼이는 대신, 본 발명의 이온 소스는, 초점을 맞춘 하나 이상의 전자빔 형태로 주입된 전자를 통한 공정 기체의 전자 충격 이온화를 사용한다.
본 발명의 이러한 이점 및 이와 다른 이점은 다음의 상세한 설명과 첨부된 도면을 참조해서 쉽게 이해될 것이다.
클러스터 이온 주입 시스템
도 1a는, 본 발명에 따른 고 전류 타입의 클러스터 이온 주입 시스템의 개략도이다. 도 1a에 도시된 것과 다른 형태가 가능하다. 일반적으로, 이온 주입기의 정전기 광학장치는 서로 다른 전위로 유지된 전기 전도성 플레이트에 삽입된 슬롯 (한 치수에서 큰 종횡비를 나타내는 에퍼쳐)을 사용하고, 이는 리본 빔, 즉 하나의 치수가 연장된 빔을 생성하는 경향이 있다. 이러한 접근법은 공간-전하력을 줄이는 데 효과적인 것으로 밝혀졌고, 분산 (단축)과 비 분산 (장축) 방향으로 초점 요소를 분리함으로써 이온 광학장치를 단순화한다. 본 발명의 클러스터 이온 소스(10)는 추출 전극(220)과 결합되어, B18Hx + 또는 As4 +와 같은 클러스터 이온을 함유한 이온빔(200)을 생성한다. 이온은 이온 소스(10)의 가늘고 긴 슬롯 (이온 추출 에퍼쳐라 불림)으로부터, 이온 추출 에퍼쳐의 치수보다 치수가 다소 큰 슬롯 렌즈가 또한 결합되어 있는 추출 전극(220)을 통해 추출된다. 이온 추출 에퍼쳐의 일반적인 치수는, 예를 들어 높이 50mm ×폭 8mm이지만, 다른 치수도 가능하다. 전극은 4극관 (tetrode) 형태의 가속-감속 전극으로, 즉 이 전극은 보다 높은 에너지에서 이온 소스로부터 이온을 추출한 다음, 전극을 빠져나가기 전 이들 이온을 가속화시킨다.
도 1b에는 가속-감속 전극의 개략도가 도시되어 있다. 이는, 전원(Vs)에 의해 바이어싱된 압축 플레이트(suppression plate)(300)와, 전원(Vf)에 의해 바이어싱된 추출 플레이트(302)와, 주입기 단자에 접지된 (감속기에서는 반드시 땅에 접지될 필요가 없음) 그라운드 플레이트(304)로 이루어진다. 이온 추출 에퍼쳐 플레이트(80)는, 전원(Va)에 의해 이온 소스 전위로 유지된 이온 소스(10)의 이온화 챔버(44)와 단전위(unipotential)로 유지된다. 양이온을 생성하기 위해서, Va > 0, Vf < 0, Vs < 0이다. 음이온을 생성하기 위해서, Va < 0, Vf = 0, Vs > 0이다. 예를 들어, 20 keV의 양이온을 생성하기 위해, 일반적인 전압은 Va = 20kV, Vs = -5kV, Vf = -15kV이다. 이는, 여러 플레이트의 실질 전압이, 추출 에퍼쳐 플레이트 80 = 20kV, 압축 플레이트 300 = -20kV, 추출 플레이트 302 = -15kV, 그라운드 플 레이트 304 = 0V이다. 음이온을 생성하기 위해, 전원 전압이 역전된다. 양극의 2극성 전원(bipolar power supply)을 사용함으로써, 도 1a, 1c, 1d 및 1e의 새로운 주입기 디자인을 통해 음이온이나 양이온 중 어느 하나가 생성될 수 있다. 따라서, 이온은 이온 소스로부터 보다 높은 에너지에서 추출되고, 그라운드 플레이트(304) 이탈시 감속되어, 더 높은 추출 전류를 가능하게 하고, 생성된 이온빔(200)의 초점과 투과율을 향상시킨다.
이온빔(200)(도 1a)은, 서로 다른 많은 질량의 이온, 즉 이온 소스(210)에서 생성된 주어진 전하 극성의 모든 이온종을 함유한다. 이온빔(200)은 다음으로 분석기 자석(230)으로 들어간다. 분석기 자석(230)은 자석 코일의 전류의 함수로 이온빔 운반 경로 내에 쌍극자 자기장을 생성하고, 자기장의 방향은 도 1a의 평면에 수직인 것으로 도시되어 있고, 도 1a의 평면은 또한 일차원 광학장치의 비 분산 축을 따라있다. 분석기 자석(230)은 또한 질량 분해 에퍼쳐 (mass resolving aperture)(270)의 위치에 이온 추출 에퍼쳐의 실제 영상 (즉, 이온의 광학 "물체" 또는 소스)을 형성하는 초점 요소이다. 그래서, 질량 분해 에퍼쳐(270)는 유사한 종횡비의 슬롯 형태를 갖지만, 이온 추출 에퍼쳐보다 다소 큰 치수를 갖는다. 일 실시예에서, 분해 에퍼쳐(270)의 폭은 연속적으로 변해서 주입기의 질량 분해를 선택할 수 있도록 한다. 이러한 특징은, 예를 들어 도 11a에 도시된 바와 같이 일 AMU만큼 서로 분리된 많은 이온 상태를 나타내는 수소화 붕소 클러스터 이온의 전달된 빔 전류를 최대화하는데 중요하다. 분석기 자석(230)의 일차적인 기능은, 반경이 각 이온의 질량 대 전하비에 의존하는 호로 이온빔을 굽혀서, 이온빔을 한 세 트의 구성 빔렛 (beamlet)으로 공간적으로 분리하거나, 또는 분산시키는 것이다. 이러한 호는 도 1a에서 빔 성분(240), 선택된 이온빔으로 도시된다. 분석기 자석(230)은 주어진 빔을 아래 방정식(4)으로 주어진 반경을 따라 구부린다.
(4) R = (2mu)1/2/qB
여기서, R은 굽힘 반경, B는 자기 플럭스 밀도, m은 이온 질량, U는 이온 운동 에너지, q는 이온 전하 상태이다.
선택된 이온빔은 좁은 범위의 질량-에너지 생성만의 이온으로 이루어져서, 자석에 의한 이온빔의 굽힘 반경은 이 빔을 질량 분해 에퍼쳐(270)를 통해 보낸다. 선택되지 않은 빔의 성분은 질량 분해 에퍼쳐를 통과하지 않지만, 이와 다른 경우에는 차단된다. 선택된 빔(240)보다 질량 대 전하비(m/q)(250)가 더 작은 빔 (예를 들어, 질량이 1 또는 2 AMU인 수소 이온으로 이루어진)에 대해, 자기장은 더 작은 굽힘 반경을 유발하고, 빔은 자기 진공 챔버의 내부 반경 벽(300), 또는 이와 다른 경우 질량 분해 에퍼쳐의 상류를 차단한다. 선택된 빔(240)보다 질량 대 전하비(260)가 더 큰 빔에 대해, 자기장은 보다 큰 굽힘 반경을 유발하고, 빔은 자기 챔버의 외부 반경 벽(290)에 부딪치거나, 또는 이와 다른 경우 질량 분해 에퍼쳐의 상류에 부딪친다. 기술 분야에서 잘 정립된 바와 같이, 분석기 자석(230)과 질량 분해 에퍼쳐(270)의 결합은 질량 분석 시스템을 형성하고, 이는 이온 소스(10)로부터 추출된 다종 빔(200)으로부터 이온빔(240)을 선택한다. 선택된 빔(240)은 다음으로 사후 분석 가속/감속 전극(310)을 통과한다. 이 단계(310)는 빔 에너지를 특 정한 주입 공정에 필요한 원하는 최종 에너지 값으로 조절할 수 있다. 예를 들어, 저 에너지에서, 고 선량 공정의 더 높은 전류는, 이온빔이 형성되고, 보다 높은 에너지에서 운반된 다음, 웨이퍼에 도달하기 전 원하는 더 낮은 주입 이온 에너지로 감속될 경우 얻어진다. 사후 분석 가속/감속 렌즈(310)는 감속 전극(220)과 구조 면에서 유사한 정전기 렌즈이다. 저 에너지 양이온 빔을 제조하기 생성하기 위해, 주입기의 전면부는 단자 덮개 (terminal enclosure)(208)로 둘러싸여 있고, 접지 아래에 떠있다. 접지된 파라데이 케이지(205)는 안전의 이유로 덮개(208)를 둘러싸고 있다. 그래서, 이온빔은 운반되고, 더 높은 에너지에서 질량 분석되며, 소재에 도달하기 전 감속될 수 있다. 감속 전극(300)은 초점이 잘 맞는 광학장치이기 때문에, 이중 4극자(320)는 이온빔(240)의 초점을 맞춰서, 각 발산 (angular divergence)과 공간 크기를 줄인다. 분해 에퍼쳐와 기판(312) 사이에 전하 교환 또는 중화 반응을 거친 이온 (이에 따라 적당한 에너지를 갖지 않는)이 기판(312)으로 전파되지 않도록 하기 위해서, 중성 빔 필터(310A)(또는 "에너지 필터")는 이 빔 경로 내에 포함된다. 예를 들어, 도시된 중성 빔 필터(310A)는 빔 경로에 "도그레그(dogleg)" 또는 작은 각의 편각을 넣고, 선택된 이온빔(240)은 인가된 DC 전자기장을 따르도록 제한된다. 그러나, 전기적으로 중성이거나 다중 하전된 빔 성분은 이 경로를 반드시 따르지는 않는다. 그래서, 적절한 이온 에너지를 갖는 해당 이온만이 필터(310A)의 출구 에퍼쳐(314)의 하류를 통과한다.
일단 빔이 4극자 쌍(320)에 의해 모양을 이루고 중성 빔 필터(310A)에 의해 여과되면, 이온빔(240)은 또한 고 진공 환경으로 유지되어 있는 웨이퍼 공정 챔 버(330) 안으로 들어가고, 이 곳에서 회전 디스크(315)에 장착된 기판(312)에 부딪친다. 규소, 실리콘-온-인슐레이터 스트레인드 슈퍼래티스 기판, 규소 게르마늄(SiGe) 스트레인드 슈퍼래티스 기판과 같이, 여러 기판용 물질이 본 발명에 적합하다. 많은 기판은 디스크에 장착되어, 많은 기판은 동시에, 즉 배치 모드로 주입될 수 있다. 배치 시스템에서, 디스크의 회전은 반경 방향으로 기계적인 스캐닝을 제공하고, 회전 디스크의 수직 또는 수평 스캐닝은 또한 동시에 실행되어, 이온빔은 정류 상태로 유지된다.
고 전류 주입기의 대안적인 실시예는 도 1c와 도 1d에 도시되어 있다. 특히, 도 1c는, 이중 4극자(320)와 중성 빔 필터(310A)를 제거함으로써 빔 라인이 크게 줄어든 것을 제외하고, 도 1a에 기술된 것과 유사한 가속-감속 주입기를 예시한다. 이 형태는 주입기를 통한 보다 나은 빔 투과율을 낳고, 기판(312)에 대해 더 큰 빔 전류를 제공한다.
도 1d는 비 가속-감속 주입기, 즉 전체 주입기의 진공 시스템이 접지되어 있는 주입기를 예시한다. 그래서, 도 1d에서, 감속 렌즈(310)와 단자 덮개(208)는 도 1c에 도시된 실시예에 관해서 제거된다. 클러스터 빔 주입 방법은, 감속 없이, 1 keV 이하의 에너지에서 매우 높은 효과적인 도핑제 빔을 전달한다. 도 1d에 예시된 클러스터 빔 주입 시스템은 매우 단순하고, 생산하는데 보다 경제적이다. 이것은 또한 빔 라인이 더 짧아서, 기판(312)에 대한 빔의 투과율을 증가시킨다.
도 1e는 본 발명을 구체화한 제안된 중간 전류 주입기를 개략적으로 예시한다. 도 1e에 도시된 것으로부터 많은 대안적인 형태가 있다. 전형적으로 높이가 몇 센티미터이고 너비가 일 센티미터 미만인 이온빔이 추출 전극(401)에 의해 추출된 이온 소스(400)에서 생성되어, 분석기 자석(402)과 질량 분해 에퍼쳐(403)를 통해 운반된다. 이는 특정한 질량-에너지 생성의 빔(404)을 생성한다. 에너지는 추출 전압에 의해 고정되기 때문에, 전형적으로 하나의 질량이 주어진 분석기 자석(402) 장에서 질량 분석기와 분해 에퍼쳐를 통과한다. 상기 방정식(4)은 이러한 공정을 설명한다. 수소화 붕소 클러스터 이온빔은 질량 분해 에퍼쳐를 빠져나가서 가속-감속 전극(405)으로 들어간다. 이 전극은 이온빔에 에너지를 추가하거나 또는 이온빔의 에너지를 줄이도록 특별하게 설계되었다. 저 에너지 주입을 위해 빔 운반은 보다 높은 에너지에서 빔을 추출한 다음, 감속 전극에서 에너지를 줄임으로써 향상된다. 도 2에 예시된 Child-Langmuir 법칙은 이온 소스로부터 추출될 수 있는 전류를 제한한다. 에너지에 대한 전류 밀도 한계의 U3 /2 의존성 (U는 추출 에너지)은, 보다 높은 추출 에너지에서 증가된 전류의 원인이 된다. 보다 높은 에너지 주입을 위해, 가속-감속 전극이 사용되어, 추출 에너지보다 높은 에너지로 이온빔의 에너지를 증가시킨다. 추출 에너지는 일반적으로 20 내지 40 keV이고, 1 keV 미만으로 감속되거나, 단일 하전 이온에 대해 200 keV, 다중 하전 이온에 대해 500 keV 정도로 높은 에너지로 가속화될 수 있다. 가속화 후, 빔은 4극자 렌즈(406)로 운반되어, 가속-감속 전극에 의해 에너지가 조절된 후 빔의 초점을 다시 맞춘다. 이 단계는 주입기의 나머지 부분을 통한 투과 효율을 증가시킨다. 가속-감속 영역 이탈시 빔이 확대되면, 이 빔은 빔 라인의 벽부에 충돌하고, 빔 라인(408)의 벽부에 충돌할 뿐 만 아니라, 타깃에 주입시키는데 이용할 수 없는 빔에 의해 입자가 생성되도록 할 것이다. 다음으로, 빔은 스캐닝 모듈(407)에 부딪치고, 이 모듈은 한 가지 치수, 전형적으로 수평으로 빔을 스캐닝한다. 이 스캔 주파수는 흔히 킬로헤르쯔 범위이다. 이는, 빔이 매우 큰 각 변화를 갖도록 해서, 타깃의 서로 다른 부분에 서로 다른 각으로 빔이 부딪치게 한다. 이러한 스캔으로 유발된 발산을 제거하기 위해, 빔은 빔 시준기 (beam collimator)(410)를 통과하도록 향한다. 빔 시준기는 자기 또는 정전기이고, 넓고 평행한 빔(409)을 생성한다. 이 시준기는 또한 빔 라인에서 부닥치는 전하-교환 반응으로 인해 의도한 것과 다른 에너지 상태인 빔으로부터 이온을 제거한다. 시준기를 빠져나가면, 빔은 웨이퍼 공정 챔버(411) 안으로 들어가고, 타깃(412)과 충돌한다. 중간 전류 주입기는 일반적으로 한 번에 하나의 웨이퍼를 가공한다. 이는 산업에서 시리얼 공정 (serial process)으로 알려져 있다. 웨이퍼의 영역 도포는, 빔선 (beam sweep) 방향에 직교 방향, 예를 들어 수직 방향으로 웨이퍼를 병진운동 (translating) 시켜서 이루어진다. 수직 주파수는 "빠른" 스캔 주파수와 비교해서 매우 느리고, 한 사이클 당 5 내지 10초 또는 그 이상의 시간을 갖는다. 웨이퍼의 선량 (이온/cm2)은 웨이퍼 다음에 장착된 파라데이 컵(413)의 빔 전류를 모니터링함으로써 조절된다. 각 스캔마다 한 번, 스캔의 말단에서, 빔이 파라데이 컵으로 들어가고 모니터링된다. 이는 빔의 스캔 주파수와 동일한 속도 (예를 들어 초 당 1000회)에서 빔 전류가 측정되도록 한다. 다음으로, 이 신호를 사용해서, 웨이퍼 전체에서 균일한 선량을 얻기 위해 빔 스캔과 직교 방향으로 수직 병 진 속도를 조절한다. 또한, 시리얼 공정 챔버는 이온빔에 대해 웨이퍼를 배향하는 자유를 허용한다. 주입 공정 중에 웨이퍼가 회전하고, 수직 빔에 대해 60도 정도의 큰 각도로 기울어질 수 있다.
B18Hx + 또는 As4Hx +와 같은 클러스터 이온빔을 사용하면, 빔 추출과 투과가 B+ 또는 As+와 같은 모노머의 경우보다 더 높은 에너지에서 일어난다. 타깃에 부딪치면, 이온 에너지는 개별, 구성 원자의 질량비로 분할된다. B18H22에 대해, 효과적인 붕소 에너지는 빔 에너지의 10.8/216.4인데, 이는 평균 붕소 원자의 질량이 10.8 amu이고, 분자의 평균 질량은 216.4 amu이기 때문이다. 이는 빔이 주입 에너지보다 20배로 추출 및 운반되도록 한다. 또한, 선량률은 모노머 이온에 대해 18배가 더 크다. 이는 처리량을 더 높이고 웨이퍼의 하전을 더 적게 한다. 웨이퍼 하전은 감소하는데, 이는 모노머 빔이 주입된 모든 원자에 하나의 전하 대신, 웨이퍼에 주입된 18개의 원자에 오직 하나의 전하만이 존재하기 때문이다.
클러스터를 이용한 플라즈마 도핑
반도체를 도핑하기 위한 빔 라인 이온 주입에 대한 대안적인 접근을 소위 "플라즈마 이머션"이라 부른다. 이 기술은 반도체 산업에서, PLAD (플라즈마 도핑), PPLAD (펄스 플라즈마 도핑) 및 PI3 (플라즈마 이머션 이온 주입)과 같은 여러 가지 다른 이름으로 알려져 있다. 이러한 기술을 이용한 도핑은, 진공으로 된 다음, 트 리플루오르화 붕소, 디보란, 아르신, 또는 포스핀과 같은 선택 도핑제를 함유한 기체로 다시 채워진 커다란 진공 용기 안에 플라즈마를 충돌시키는 단계를 필요로 한다. 플라즈마는 정의한 바와 같이, 이 안에 양이온, 음이온 및 전자를 갖는다. 다음으로, 타깃은 음으로 바이어싱되어, 플라즈마의 양이온들이 타깃 쪽으로 가속화되게 한다. 이온 에너지는 방정식 U = QV를 통해 설명되는데, U는 이온의 운동 에너지이고, Q는 이온의 전하이며, V는 웨이퍼의 바이어스이다. 이러한 기술을 이용하면, 질량 분석이 없다. 플라즈마의 모든 양이온이 가속화되어, 웨이퍼로 주입된다. 따라서, 매우 깨끗한 플라즈마가 생성되어야만 한다. 이러한 도핑 기술을 이용하면, B18H22와 같은 붕소 클러스터, 또는 As4Hx와 같은 비소 클러스터 증기가 용기에 주입되고, 플라즈마가 점화된 다음, 웨이퍼에 음 바이어싱이 가해질 수 있다. 바이어스는 시간에 따라 일정하거나, 시간에 따라 변하거나, 또는 펄스형일 수 있다. 이러한 클러스터의 사용은, 수소에 대한 도핑제 원자의 비 (예를 들어, B18H22 대 B2H6, As4Hx 대 AsH3 사용)가 간단한 수소화물보다 수소화물 클러스터에 대해 더 크고, 또한 선량률은 클러스터를 사용할 경우 훨씬 더 커질 수 있기 때문이다. 선량은 용기 안의 증기 압력과, 온도와, 바이어스 전압의 바이어싱 및 듀티 사이클(duty cycle)의 크기와, 타깃에 대한 이온 도달 속도 사이의 관계식을 파악함으로써 매개 변수적으로 조절될 수 있다. 타깃에 대한 전류를 또한 직접 측정할 수 있다. 빔 라인 주입을 사용할 경우, 옥타데카보란을 사용하면, 옥타데타보란이 선택 증기일 경우 필요한 가속화 전압보다 20배, 선량률 면에서 18배의 향상을 나타 낼 것이다. As4Hx를 사용하면, 필요한 전압의 4배, 선량률은 4배 향상될 것이다. 또한 클러스터를 이용한 빔 라인 주입을 사용하면, 변화가 감소할 것이다.
클러스터 이온 소스
도 3은 클러스터 이온 소스(10)와 그 여러 구성요소의 도면이다. 그 바람직한 작동 방식뿐만 아니라, 그 구성의 상세한 설명은, 공동 소유된 미국 특허 출원 번호 제 10/183,768호의 "전자 충격식 이온 소스" (2002년 6월 26일자 제출, 발명자는 T. N. Horsky)에 상세하게 기재되어 있고, 이는 본 명세서에 참조 문서로 포함되어 있다. 이온 소스(10)는 새로운 전자 충격식 이온화 소스의 일 실시예이다. 도 3은, 이온 소스(10)를 구성하는 구성요소의 기능성을 분명하게 하는 소스 구조의 개략 단면도이다. 이온 소스(10)는 장착 플랜지(36)를 통해 이온 주입기 또는 이와 다른 공정 장치의 진공 챔버를 연결하도록 만들어져 있다. 그래서, 도 3에 도시한, 플랜지(36)에 수직인 이온 소스(10) 부분은 고 진공 상태에 있다 (압력은 1 ×10-4 Torr 미만). 기체 물질이 이온화 챔버(44)로 주입되고, 이곳에서 기체 분자는, 전자빔(70A 또는 70B)이 이온 추출 에퍼쳐(81)와 정렬되도록 전자 출입 에퍼쳐(71B)를 통해 이온화 챔버(44)로 들어가고, 전자 출구 에퍼쳐(71A)를 통해 이온화 챔버(44)를 빠져나가는 전자빔(70A 또는 70B)의 전자 충격에 의해 이온화된다. 도 4a와 도 5a에 도시된, 단일 전자총과 빔 덤프 (beam dump)를 포함한 일 실시예에서, 이온화 챔버(44)를 이탈한 후, 전자빔은 이온화 챔버(44) 밖에 위치한 빔 덤 프(72)에 의해 차단된다. 그래서, 이온은, 이온 추출 에퍼쳐 플레이트(80)에 슬롯으로 보이는 이온 추출 에퍼쳐(81) 부근에 생성된다. 이온은 다음으로 추출되고, 이온 추출 에퍼쳐 플레이트(80) 전면에 위치한 추출 전극 (미도시됨)에 의해 에너지 이온빔으로 형성된다. 이온화 영역은 도 4a와 4b, 도 5a와 5b에 보다 상세하게 나타나 있다.
이제 도 3을 참조하면, 기체는 기체관(33)을 통해 이온화 챔버(44) 안으로 공급된다. 고형의 공급 물질은 기화기(28)에서 증기화될 수 있고, 증기는 소스 블록(35) 내의 증기관(32)을 통해 이온화 챔버(44) 안으로 공급된다. 구멍이 뚫린 분리 장벽(34a) 아래에 위치한 고형의 공급 물질(29)은 기화기 하우징(30)의 온도 조절을 통해 일정한 온도에서 유지된다. 밸러스트 볼륨(ballast volume)(31)에 쌓이는 증기(50)는 관(conduit)(39)과, 하나 이상의 차단 밸브(shutoff valve)(100과 110)를 통해 공급된다. 차단 밸브(110) 내 증기(50)의 공칭 압력은 커패시턴스 압력계 게이지(60)를 통해 관찰된다. 증기(50)는 소스 블록(35)에 위치한 증기관(32)을 통해 이온화 챔버(44) 안으로 공급된다. 그래서, 기체와 고형의 모든 도핑제를 함유한 물질은 이러한 이온 소스를 통해 이온화될 수 있다.
도 4a, 4b, 5a 및 5b는 이온 소스의 광학 디자인의 대안적인 실시예를 예시한다. 특히, 도 4a와 5a는 하나의 전자 소스를 포함한 본 발명의 일 실시예를 예시한다. 도 4b와 5b는 이중 전자 소스를 포함한 대안적인 실시예를 예시한다.
단일 전자 소스
특히, 도 4a는 본 발명에 따른 이온 소스 형태의 광학 설계의 일 실시예를 예시한 측 단면도이다. 본 발명의 일 실시예에서, 전자빔(70)은 가열 필라멘트(110)로부터 방출되고, 예를 들어, 정전 자기장 B (135) (나타낸 바와 같이 종이 평면에 수직 방향인)를 결합한 빔 스티어링 장치 (beam steerer)의 영향으로, 이온화 챔버(44) 안으로 90도 궤적을 실행하고, 베이스 플레이트(105)의 베이스 플레이트 에퍼쳐(106)를 처음 통과한 다음, 이온화 챔버(44)의 전자 출입 에퍼쳐(70a)를 통과한다. 이온화 챔버(44)를 통과하는 전자 {즉, 전자 출입 에퍼쳐(70a)와 전자 출구 에퍼쳐(71)를 통해}는 빔 덤프(72)에 의해 차단된다. 방출기 차폐 (emitter shield)(102)는 베이스 플레이트(105)와 전위가 동일하고, 전파되는 전자빔(70)에 대한 정전기 차폐를 제공한다. 전자빔(70)은 베이스 플레이트 에퍼쳐(106)를 통해 전파되기 때문에, 이온화 챔버(44)로 들어가기 전, 베이스 플레이트(105){양으로 연결된 전원(115)이 제공된}에 전압(Va)을 가하고, 필라멘트(135){음으로 연결된 전원(116)이 제공된}에 전압(Vc)을 가함으로써 감속된다 {베이스 플레이트와 필라멘트 모두는 이온화 챔버(44)에 대해 바이어싱 되어있다}. 전자 빔 에너지를, 빔 형성과 운반 영역, 즉 이온화 챔버(44) 밖에서 이온화하기 위해 일반적으로 필요한 것보다 훨씬 더 크게 유지하는 것이 중요하다. 이는, 빔 전류를 크게 감소시키고, 저 에너지에서 전자빔의 직경을 확대하는 공간 전하 효과 때문이다. 그래서, 이 영역에서 약 1.5 keV 내지 5 keV의 전자빔 에너지를 유지하는 것이 바람직하다.
전압은 모두 이온화 챔버(44)에 관계가 있다. 예를 들어, Vc = -0.5 kV이고 Va = 1.5 kV이면, 전자빔 에너지는 이에 따라 e(Va-Vc)로 주어지고, 여기서 e는 전 자 전하 (6.02 ×10-19 쿨롱)이다. 그래서, 이 예에서, 전자빔(70)이 형성되고 2 keV의 에너지에서 휘어지지만, 전자 출입 에퍼쳐(70a)에 들어갈 때, 0.5 keV의 에너지를 갖는다.
도 4a에 도시된 다른 부품들은, 추출된 이온빔(120)과, 소스 정전기 차폐(101)와, 방출기 차폐(102)를 포함한다. 방출기 차폐(102)는 베이스 플레이트(105)와, 이온화 챔버(44)와 전위가 동일한 소스 차폐(101)의 전위차와 관련된 장으로부터 전자빔(70)을 차폐한다. 소스 차폐(101)는 베이스 플레이트(105)와 이온화 챔버(44)의 전위차에 의해 생성된 장으로부터 이온빔(120)을 차폐하고, 이온 소스 요소에 충격을 줄 수도 있는 산란 전자와 이온을 흡수하도록 또한 작용한다. 이러한 이유 때문에, 방출기 차폐(102)와 소스 차폐(101)는 몰리부덴과 같은 내화 금속으로 만들어진다. 대안적으로, 자기장 B (135)와 자기장 B' (119)로부터 이온빔(120)을 보다 완전하게 차폐하는 것은, 자기 스테인리스강과 같은 강자기성 물질의 소스 차폐(101)를 제조함으로써 이루어질 수 있다.
도 5a는 기계적인 세부 사항을 예시하고, 도 4a의 내용이 도 3의 이온 소스에 결합되어 있는 방법을 분명하게 나타내는 절단 도면이다. 전자는 필라멘트(110)로부터 열이온 방출되고, 애노드(anode)(140)로 가속화되어, 전자빔(70)을 형성한다. 전자빔(70)은 이온화 챔버 밖에 생성되기 때문에, 방출기의 수명은 알려진 형태와 비교해서 연장되는데, 이는 이온 소스가 있는 주입기 진공 하우징의 저압 환경에 방출기가 있고, 방출기는 또한 이온 충격으로부터 효과적으로 보호되기 때문 이다.
영구 자석(130)과 자극 어셈블리(magnetic pole assembly)(125)의 자기 플럭스는, 전자빔(70)이 전파되는 자극 어셈블리(125)의 단부간 공기 간격에 균일한 자기장을 만들어 빔을 나아가게 하는데 사용된다. 자기장 B (135)와 전자빔(70)의 전자빔 에너지는, 전자빔(70)이 약 90도 휘어지고, 도시된 바와 같이 이온화 챔버(44) 안을 통과하도록 매칭된다. 예를 들어 전자빔(70)을 90도 편향시킴으로써, 방출기(110)와, 이온을 함유한 이온화 챔버(44) 사이에 눈에 보이는 선이 존재하지 않고, 이에 따라 에너지 하전 입자에 의한 방출기의 충격을 막을 수 있다.
Va는 이온화 챔버(44)에 대해 양이고, 전자빔(70)은 베이스 플레이트 에퍼쳐(106)와 전자 출입 에퍼쳐(70a)에 의해 한정된 간격 통과시 감속된다. 그래서, 베이스 플레이트 에퍼쳐(106)와 전자 출입 에퍼쳐(70a)의 결합과, 이들 사이의 간격은, 정전기 렌즈를 형성하고, 이 경우에는 감속 렌즈를 형성한다. 감속 렌즈의 사용은, 전자빔 생성과 편향에 실질적으로 영향을 미치지 않으면서 전자빔의 이온화 에너지가 조절될 수 있도록 한다.
이 간격은, 하나 이상의 세라믹 스페이서(132)에 의해 만들어질 수 있고, 이 세라믹 스페이서는 베이스 플레이트(105)를 지지하고, 이온화 챔버 전위인 소스 블록(35)과 격리시키는 물질로 작용한다. 세라믹 스페이서(132)는 전기적인 절연과 기계적인 지지 모두를 제공한다. 명확함을 위해, 방출기 차폐(102)와 소스 차폐(101)는 도 5a에 도시되지 않는다. 또한 도 7a - 7h에 도시되어 있는 자기 요크 어셈블리도 도시되지 않는다.
전자 출입 에퍼쳐(106)는 전자빔(70)의 투과율을 제한할 수 있기 때문에, 베이스 플레이트(105)는 에너지 전자빔(70)의 상당 부분을 차단할 수 있다. 그래서, 베이스 플레이트(105)는 능동 냉각되거나, 또는 수동 냉각될 수 있다. 능동 냉각은 물과 같은 액체 냉각제를 베이스 플레이트(105)에 흘려주거나, 압축 공기가 상기 베이스 플레이트(105)를 통해 흐르도록 함으로써 이루어질 수 있다. 대안적인 실시예에서, 수동 냉각은, 베이스 플레이트(105)가 그 주변으로 방열함으로써 냉각되는 온도에 도달하게 함으로써 이루어진다. 이러한 정류 상태 온도는, 차단된 빔의 전력, 베이스 플레이트의 표면적과 방사율, 주변 구성요소의 온도에 좌우된다. 베이스 플레이트(105)가 높은 온도 (예를 들어, 250℃)에서 작동하도록 하는 것은, 노출된 냉각 표면에 오염 및 입자 형성 막을 형성할 수 있는 응축 가능 기체를 흘려줄 때 유리하다.
이중 전자 소스
도 4b는 이중 전자빔 이온 소스 형태를 예시한 광학 설계의 대안 실시예이다. 본 발명의 이 실시예에서, 공간적으로 분리된 한 쌍의 전자빔(70a와 70b)은 공간적으로 분리된 한 쌍의 가열 필라멘트(110a와 110b)로부터 방출되고, 빔 스티어링 장치 또는 정전 자기장 B (135a와 135b) (나타낸 바와 같이 종이 평면에 수직 방향인)의 영향으로 인해 이온화 챔버(44) 안으로 90도 궤적을 실행하고, 서로 분리된 한 쌍의 베이스 플레이트(105a와 105b)의 한 쌍의 베이스 플레이트 에퍼쳐(106a와 106b)를 처음 통과한 다음, 한 쌍의 전자 출입 에퍼쳐(71a와 71b)를 통 과한다. 이온화 챔버(44) {즉, 전자 출입 에퍼쳐(71a와 71b) 모두}를 통과한 전자는, 빔 스티어링 장치, 또는 정전 자기장(135a와 135b)에 의해 한 쌍의 방출기 차폐(102a와 102b) 쪽으로 휘어진다. 전자빔은 베이스 플레이트 에퍼쳐(106a와 106b)를 통해 전파되기 때문에, 이온화 챔버(44)로 들어가기 전, 베이스 플레이트(105a와 105b){양으로 연결된 전원(115)이 제공된}에 전압(Va)을 가하고, 필라멘트(135a와 135b){음으로 연결된 전원(116)이 제공된}에 전압(Vc)을 가함으로써 감속된다. 전자 빔 에너지를, 빔 형성과 운반 영역, 즉 이온화 챔버(44) 밖에서 이온화하기 위해 일반적으로 필요한 것보다 훨씬 더 크게 유지하는 것이 중요하다. 이는, 빔 전류를 크게 감소시키고, 저 에너지에서 전자빔의 직경을 확대하는 공간 전하 효과 때문이다. 그래서, 이 영역에서 전자빔 에너지를 약 1.5 keV 내지 5 keV로 유지하는 것이 바람직하다.
단일 전자 소스를 위한 실시예와 유사하게, 이중 전자 소스를 위한 전압 또한 모두 이온화 챔버(44)와 관계가 있다. 예를 들어, Ve = -0.5 kV이고 Va = 1.5 kV이면, 전자빔 에너지는 이에 따라 e(Va-Ve)로 주어지고, 여기서 e는 전자 전하 (6.02 ×10-19 쿨롱)이다. 그래서, 이 예에서, 전자빔(70a,70b)이 형성되고 2 keV의 에너지에서 휘어지지만, 전자 출입 에퍼쳐(71a,71b)에 들어갈 때는 0.5 keV의 에너지만을 갖는다.
다음의 표는 에너지 E를 갖는 전자빔을 90도 굽히는데 필요한 자기장 B의 대략적인 값을 제공한다.
본 발명에서 90도 편향을 실행하는 전자 에너지에 대한 자기장 강도의 의존성
전자 에너지 E 자기장 B
1500 eV 51 G
2000 eV 59 G
2500 eV 66 G
도 4B에 도시된 다른 부품들은, 추출된 이온빔(120a)과, 소스 정전기 차폐(101a)와, 한 쌍의 방출기 차폐(102a와 102b)를 포함한다. 이러한 방출기 차폐(102a와 102b)는, 전자기장으로부터 차폐를 제공하고, 산란 전자 또는 이온빔으로부터 차폐를 제공하는 두 가지 목적을 수행한다. 예를 들어, 방출기 차폐(102a와 102b)는 베이스 플레이트(105a와 105b)와 소스 차폐(101)의 전위차와 관련된 장으로부터 전자빔(70a와 70b)을 차폐하고, 또한 서로 마주하는 전자 방출기의 산란 전자빔을 위한 덤프로 작용한다. 소스 차폐(101)는 베이스 플레이트(105a와 105b)와 이온화 챔버(44)의 전위차에 의해 생성된 장으로부터 이온빔(120)을 차폐하고, 또한 이온 소스 요소에 충격을 줄 수도 있는 산란 전자와 이온을 흡수하는 작용을 한다. 이러한 이유 때문에, 소스 차폐(101) 뿐만 아니라, 방출기 차폐(102a와 102b)는 몰리부덴 또는 흑연과 같은 내화 금속으로 만들어진다. 대안적으로, 자기장 B (135a와 135b)으로부터 이온빔(120a)을 보다 완전하게 차폐하는 것은, 자기 스테인리스강과 같은 강자기성 물질의 소스 차폐(101a)를 제조함으로써 이루어질 수 있다.
도 5b는 기계적인 세부 사항을 예시하고, 도 4b의 내용이 도 3의 이온 소스에 결합되어 있는 방법을 분명하게 나타내는 절단 도면이다. 전자는 필라멘트(110a와 110b)로부터 열이온 방출되고, 해당하는 한 쌍의 애노드(140a와 140b)로 가속화되어, 전자빔(70a와 70b)을 형성한다. 이러한 형태는 여러 가지 이점을 제공한다. 첫 번째, 필라멘트(110a와 110b)는 개별적으로 또는 각각 작동할 수 있다. 두 번째, 전자빔(70a,70b)은 이온 챔버 밖에서 생성되기 때문에, 방출기의 수명은 알려진 형태와 비교해서 연장되는데, 이는 이온 소스가 있는 주입기 진공 하우징의 저압 환경에 방출기가 있고, 방출기는 또한 이온 충격으로부터 효과적으로 보호되기 때문이다.
한 쌍의 영구 자석(130a와 130b)과, 한 쌍의 자극 어셈블리(magnetic pole assembly)(125a와 125b)의 자기 플럭스는, 전자빔(70a,70b)이 전자되는 자극 어셈블리(125)의 단부간 공기 간격에 균일한 자기장을 만드는데 사용된 빔 스티어링 장치를 형성하는데 사용된다. 자기장(135a와 135b)과 전자빔(70)의 전자빔 에너지는, 전자빔(70a와 70b)이 90도 휘어지고, 도시된 바와 같이 이온화 챔버(44) 안을 통과하도록 매칭된다. 예를 들어 전자빔(70a와 70b)을 90도 편향시킴으로써, 방출기와, 이온을 함유한 이온화 챔버(44) 사이에 눈에 보이는 선이 존재하지 않고, 이에 따라 에너지 하전 입자에 의한 방출기의 충격을 막을 수 있다.
Va는 이온화 챔버(44)에 대해 양이고, 전자빔(70A와 70B)은 베이스 플레이트 에퍼쳐(106a와 106b)와 전자 출입 에퍼쳐(71a와 71b)에 의해 한정된 간격 통과시 감속된다. 그래서, 베이스 플레이트 에퍼쳐(106a)와 전자 출입 에퍼쳐(71a)의 결합, 베이스 플레이트 에퍼쳐(106b)와 전자 출입 에퍼쳐(71b), 이들 사이의 간격 각각은 정전기 렌즈를 형성하고, 이 경우에는 감속 렌즈를 형성한다. 감속 렌즈의 사용은, 전자빔 생성과 편향에 실질적으로 영향을 미치지 않으면서, 전자빔의 이온화 에너지가 조절될 수 있도록 한다.
이 간격은, 하나 이상의 세라믹 스페이서(132a와 132b)에 의해 만들어질 수 있고, 이 세라믹 스페이서는 베이스 플레이트(105a와 105b)를 지지하고, 이온화 챔버 전위인 소스 블록(35)과 격리시키는 물질로 작용한다. 세라믹 스페이서(132a와 132b)는 전기적인 절연과 기계적인 지지 모두를 제공한다. 명확함을 위해, 방출기 차폐(102)와 소스 차폐(101)는 도 3에 도시되지 않았다는 것을 주목해야 한다.
전자 출입 에퍼쳐(106a와 106b)는 전자빔의 투과율을 제한할 수 있기 때문에, 베이스 플레이트(105a와 105b)는 에너지 전자빔(70a,70b)의 일부를 차단할 수 있다. 그래서, 베이스 플레이트(105a,105b)는 능동 냉각되거나, 또는 수동 냉각될 수 있다. 능동 냉각은 물과 같은 액체 냉각제를 베이스 플레이트에 흘려줌으로써 이루어질 수 있다. 대안적으로, 수동 냉각은, 베이스 플레이트가 그 주변으로 방열함으로써 냉각되는 온도에 도달하게 함으로써 이루어질 수 있다. 이러한 정류 상태 온도는, 차단된 빔의 전력, 베이스 플레이트의 표면적과 방사율, 주변 구성요소의 온도에 좌우된다. 베이스 플레이트(105a,105b)가 높은 온도 (예를 들어, 200℃)에서 작동하도록 하는 것은, 냉각 표면에 오염 및 입자 형성 막을 형성할 수 있는 응축 가능 기체를 흐르게 할 때 유리할 수 있다.
도 5c는, 도 4b와 5b에 예시된 소스의 전자빔 형성 영역의 간단한 평면도를 도시한다. 필라멘트(110b)는 이온화 챔버(44)(도 3)에 대해 전위(Ve), 예를 들어 -0.5 keV이고, 애노드(140b), 자극 어셈블리(125b), 베이스 플레이트(105b), 방출기 차폐(102b)는 모두 애노드 전위(Va), 예를 들어 1.5 keV이다. 그래서, 전자빔 에너지는 2 keV이다. 전자빔(70b)은 자극 어셈블리(125b)의 극간 공기 간격에서 자기장(135b)에 의해 편향되어, 전자빔(70b)은 베이스 플레이트 에퍼쳐(106b)를 통과한다. 베이스 플레이트 에퍼쳐(106a와 106b)와 전자 출입 에퍼쳐(71a와 71b)의 일반적인 값은, 더 크거나 작은 에퍼쳐가 가능하지만, 직경이 모두 1cm이다.
이온화 확률
도 21은 이온화 확률이 전자 충격 이온화를 위해 전자 에너지에 의존하는 방법을 예시한다. 예시를 위해 암모니아(NH3)가 사용된다. 확률은 10-16cm2 단위의 단면(σ)으로 표현된다. 전자 에너지(T)는 eV, 즉 전자-볼트이다. 첫 번째 원리로부터 계산되고 BEB (수직 IP)와 BEB (단열 IP)로 표시된 두 가지 세트의 이론 곡선과, Djuric 등 (1981년)과, Rao와 Srivastava (1992년) 등의 두 가지 세트의 실험 데이터가 나타나 있다. 도 21은 전자 에너지의 특정 범위가 다른 에너지 범위보다 이온화를 더 일으킨다는 사실을 예시한다. 일반적으로, 약 50eV 내지 500eV의 전자 충격 에너지에 대해 단면이 가장 높고, 약 100eV에서 최대가 된다. 그래서, 전자빔이 이온화 챔버(44)에 들어가는 에너지는 본 발명의 이온 소스의 작동에 영향을 미치는 중요한 매개변수이다. 도 4a,4b와 도 5a,5b에 나타난 특징은, 이온 소스의 전자빔 형성 및 편향 영역의 거의 일정한 조건에서 작동하면서, 전자 충격 이온화 에너지를 광범위하게 조절할 수 있는 전자 광학장치를 본 발명이 구체화하는 방법을 나타낸다.
온도 조절
본 발명의 이온 소스의 한 가지 양상은, 소스 블록과 밸브의 온도뿐만 아니라, 이온화 챔버 온도의 사용자 조절이다. 이 특징은, 응축 물질을 이용한 표면의 중요 코팅을 방지하고, 관(39), 밸브(100,110), 증기 공급(32)을 통한 증기의 효율적인 운반을 보장하는 응축 가능 기체의 증기화시 유리하다. 이 소스는 소스 온도를 정확하게 조절하기 위해 가열과 냉각의 결합을 이용한다. 개별적인 온도 조절은 기화기(28), 차단 밸브(100과 110), 소스 블록(35)에 제공된다. 이온화 챔버(44)는, 추출 에퍼쳐 플레이트(80)와 같이, 전자빔(70)과 상호 작용함으로써 수동 가열되고, 소스 블록(35)과 이온화 챔버(44), 이온화 챔버(44)와 추출 에퍼쳐 플레이트(80)간 열 전도성 계면을 통해 안정된 작동 온도를 유지해서, 소스 블록의 온도 < 이온화 챔버의 온도 < 추출 에퍼쳐 온도가 된다. 온도 조절에는 외부 전자 컨트롤러 (Omron 모델 E5CK와 같은)가 사용된다. 가열은 삽입된 저항 히터를 통해 이루어지고, 그 가열 전류는 전자 컨트롤러를 통해 조절된다. 냉각은, 예를 들어 본 명세서에 참조 문서로 포함되어 있는 공동 소유된 PCT 출원 US 01/18822와 US 출원 번호 10/183,768호에 추가 설명되어 있는 대류와 전도성 기체 냉각 방법의 결합을 통해 제공된다.
도 6은, 세 개의 독립 온도 영역을 위한 폐쇄 루프 조절 시스템을 예시하는데, 이는 세 개의 온도 영역, 즉 기화기 본체(30)용 영역(1)과, 차단 밸브(100과 110)용 영역(2)과, 소스 블록(35)용 영역(3)이 한정된 바람직한 실시예의 블록도를 나타낸다. 각각의 영역은 전용 컨트롤러, 예를 들어 Omron E5CK 디지털 컨트롤러를 구비할 수 있다. 가장 간단한 경우, 예를 들어 18℃ 내지 200℃ 또는 그 이상인 실온 이상의 온도를 능동적으로 조절하기 위해서는 가열 요소만이 사용된다. 그래서, 저항 카트리지 타입의 히터가 기화기 본체(30)(히터 1)와 소스 블록(35)(히터 3)에 삽입될 수 있는 반면, 밸브(100,110)는 저항 요소가 와이어 또는 호일 스트립인 실리콘 스트립 히터 (히터 2)로 싸일 수 있다. 도 6에 TC1, TC2, TC3로 부착된 세 개의 열전쌍이 세 개의 구성요소{30,35,100(110)} 각각에 삽입되고, 세 개의 전용 온도 컨트롤러 각각에 의해 연속적으로 판독된다. 온도 컨트롤러(1,2,3)는 온도 설정값(SP1, SP2, SP3)으로 각각 사용자 프로그래밍된다. 일 실시예에서, 온도 설정값은 SP3 > SP2 > SP1이 된다. 예를 들어, 기화기의 온도가 30℃인 것이 바람직할 경우, SP2는 50℃, SP3는 70℃가 될 수 있다. 컨트롤러는 일반적으로 TC 리드백 (readback)이 설정값과 같지 않을 경우, 컨트롤러의 비교기가 필요한 냉각이나 가열을 시작하도록 작동한다. 예를 들어, 온도를 변화시키기 위해 가열만이 사용될 경우, 비교기의 출력은 TC1 < SP1이 아닐 경우 0이다. 컨트롤러는 온도차 (SP1 - TC1)의 비선형 함수로 출력의 참조용 표 (lookup table)를 포함하고, 프로그래밍된 설정값으로 온도를 평탄하게 조절하기 위해 컨트롤러의 히터 전원에 적절한 신호를 공급할 수 있다. 히터 전력을 바꾸는 일반적인 방법은 전원의 펄스폭 변조에 의해서이다. 이 기술은 전체 스케일의 1% 내지 100%로 전력을 조절하는데 사용될 수 있다. 이러한 PID 컨트롤러는 일반적으로 0.2℃ 이내로 온도 설정값을 유지할 수 있다.
자기 요크 어셈블리
일 실시예에서, 균일한 자기장 B' (119)은 도 7a에 도시된 영구 자석 요크 어셈블리(500)를 이온화 챔버(44)에 결합시켜 이온화 챔버(44) 내에서 만들어진다. 이제 도 7a를 참조하면, 자기 플럭스는, 예를 들어 사마륨-코발트 자석(510a와 510b)과 같은 한 쌍의 영구 자석을 통해 생성되고, C자형의 대칭 전극부(520a와 520b) 사이의 간격을 통해 요크 어셈블리(500)를 통해 돌아온다. 전자빔(70)은 요크(520a)의 구멍(530a)을 통해 들어가고, 요크(520b)의 구멍(530b)을 통해 빠져나간다. 도 7c는 요크 어셈블리(500)가 이온화 챔버(44)와 결합되는 방법을 보여준다. 도 7b에서, 이온화 챔버(44)는 요크 어셈블리(500)와 전극(520a와 520b)을 수용해서, 요크 어셈블리(500)의 표면(550)과 이온화 챔버(44)의 표면이 접하도록 하는 밀링 단면을 갖는다. 이온화 챔버(44) 부분으로 기계 가공된, 좁은 환형(540a와 540b)(미도시된)의 내벽은, 전자 출입 에퍼쳐(70a)와 전자 출구 에퍼쳐(71)를 한정해서, 요크 어셈블리(500)의 강자기성 물질이 전자빔에 노출되지 않도록 보장해서, 이온화 챔버(44)의 이온화 용적 내에서 철 금속이 오염될 임의의 확률을 감소시킨다. 도 7c는, 자기장 모델링 소프트웨어를 통해 계산되고, 요크 어셈블리(500)의 xy 평면 {x는 수평, y는 수직, 도 5에 도시된 바와 같이 전자빔(70)의 전파 방향과 역평행}을 갖는 단면을 따라 있는 플럭스 선을 나타낸다. 매우 균일한 자기장 선(119)이 전자빔(70)의 전파 용적 내에서 생성된다. B'(119)은 전자빔(70)을 한정하기 위해, 입사하는 전자빔(70)과 평행하게 향한다.
*도 7d에는 자기 요크 어셈블리의 서로 다른 실시예가 도시되어 있다. 이 실시예는 자기 코일(610)과, 상부 요크(620a) 및 상부 전극(630a)과, 하부 요크(630a) 및 하부 전극(630b)으로 이루어지고, 보빈 코어 (bobbin core)(600)는, 상부 전극(630a)과 하부 전극(630b) 사이의 진공 간격을 통해 플럭스를 다시 보내는 자기 회로에서 상부 요크(620a)와 하부 요크(630b)를 연결한다. 플럭스는 코일(610) 와이어를 통한 전류에 의해 생성된다. 플럭스는 보빈 코어(600)에 의해 상부와 하부 요크(630a와 630b)로 운반된다. 코일 전류를 변화시켜, 자기 플럭스 밀도 (즉, 자기장 세기)는 다시 진공 간격에서 변할 수 있다.
도 7e는 본 발명의 이온 소스와 통합된 도 7d의 자기 요크 어셈블리의 절단 도면 (Y-Z 평면 포함)을 나타낸다. 도 7e에 도시된 요크 어셈블리의 기하구조는 도 7b에 도시된 요크 어셈블리와 크게 다르다. 도 7b에서 크게 벗어나는 것은 요크(620a와 620b)의 기하구조에 있고, 이는 Y 방향 (이온빔의 전파 방향과 역평행)을 따라 배향된다. 도 7e의 요크 어셈블리는 또한 도 7a에 도시된 자기 요크 어셈블리(500)에 두 쌍의 복귀 요크 대신 한 쌍의 복귀 요크(620a와 630b)만을 갖는 더 간단한 자기 회로를 이용한다. 코일(610)은 온도 조절 소스 블록(35)(도 7e에 미도시됨)에 코일의 히트 싱크 (heat sinking)를 제공하기 위해 소스 블록(35)에 삽입된다.
도 7f는, 도 7d의 자기 요크 어셈블리를 통한 플럭스 경로와 플럭스 밀도를 나타내고, 누출 플럭스는 이온빔 경로 밖의, 이온 소스 앞에 대부분 제한되지만, 비교적 균일한 플럭스 밀도는, 전자빔(70)을 포함한 이온화 용적이 있는 전극(630a와 630b) 사이에서 생성된다. 3000amp-turn의 코일 전류를 사용하면, Z 방향 {상부 전극(630a)과 하부 전극(630b)의 중심을 연결하는 선}을 따라 약 100 Gauss의 자기 플럭스 밀도가 생성될 수 있다. 그래서, 사용자가 선택할 수 있는 플럭스 밀도는 코일(610)을 통한 전류를 조절함으로써 0에서 100 Gauss까지 Z를 따라 생성된다. 이제 도 7g를 참조하면, 이온화 영역 내에 있고, 이온 추출 플레이트(80')와 이온 추출 에퍼쳐(81')를 포함한 평면에 평행한 X-Z 평면의 플럭스 라인이 도시되어 있다. 플럭스의 Z 성분은 이온 추출 에퍼쳐(81')의 바로 이 영역에서 매우 균일하다. 이온 추출 에퍼쳐(81')는 종이 평면에서 Z를 따라 배향될 것이다.
도 7h는, 전극(630a)에 의해 생성된 자기장이, 전자빔이 90도로 안내된 영역(650)을 통과하지 못하도록 하기 위해, 전자총의 베이스플레이트(105) 아래에 고 투과성의 자기 차폐(640)가 결합되어 있는 나타낸다. 차폐(640)이 없을 경우, 수직 또는 y축을 따른 산란 자기장은 측면 또는 x 방향으로 전자빔의 원치 않는 편향을 일으켜서, 이온화 챔버(44)로 들어가기 전에 전자빔의 궤적(660)에 이상을 일으킬 것이다.
도 4a의 이온 소스에, 도 7h에 도시된 바와 같이 도 7b의 자기 요크 어셈블리를 결합함으로써, 예를 들어, 한정 자기장의 사용은 감속 후, 즉 전자빔이 이온화 챔버(44)로 들어갈 때 전자빔(70)을 확대하는 분산성 공간-전하력을 상쇄시키는데 도움이 되는 것으로 보인다. 이는 전자빔(70)의 더 높은 전하 밀도, 이에 따라 이온 추출 에퍼쳐(81)에 인접한 바람직한 이온화 영역 가까이에 더 높은 이온 밀도를 가능하게 해서, 이온 전류(120)를 증가시키는 이점이 있다. 전원(117)에 의해, 이온화 챔버(44)에 대해, 빔 덤프(72)에 음 전압(Vr)을 바이어싱함으로써 추가 이득을 얻을 수 있다. 예를 들어, Vr ≤Vc이면, 반사 모드가 생길 수 있고, 이를 통해 전자빔(70)에 포함된 일차 전자가 빔 덤프(72)로부터 반사되어, 전자의 유효 경로 길이를 증가시킨다. 충분히 낮은 전자 에너지에서, 한정하는 장 B'(119)의 존재는 반사된 전자가 B'의 방향을 따라 나선형 궤적을 실행하도록 한다. B(135)와 B'(119)은 직교 방향이고, B(135)는 이온화 챔버(44)에 전자빔(70)을 편향시키고, B'(119)은 결과적으로 생성된 빔을 한정한다. 따라서, 자기 차폐(118)가 베이스 플레이트(105)의 밑면에 가해진다. 자기 차폐(118)는 두 개의 장이 혼합되지 않도록 하기 위해 고 투과율의 금속으로 만들어진다. 이는, 이온화 챔버(44) 밖과, 이온화 챔버(44) 안에서, 전자빔(70)의 경로를 두 개의 자기장 영역으로 분리한다.
수소화 붕소 클러스터 이온을 생성하는 방법
본 명세서에 기술된 방법은 본 발명의 이온 소스의 정상 작업으로 간주될 수 있고, 이 경우 다른 작업 모드와의 유일한 차이는 소스 매개변수 {공급 물질, 공급 기체 유속, 전자 이온화 에너지 및 전류와, 소스 성분의 온도(들)}에 대한 값을 사용자가 선택하는 것이다. 고형의 옥타데카보란(B18H22)은, 도 3에 도시된 기화기와 이온 소스를 사용함으로써, 형태 B18Hx +의 수소화 붕소 클러스터 이온을 제조하기 위해 사용될 수 있다. 옥타데카보란은 실온에서 안정한 고체이고, 수 밀리토르의 증기압을 갖는다. 약 1 sccm의 옥타데카보란 증기(32)의 유용한 질량 흐름을 생성하기 위해, 기화기(28)는 약 90℃로 유지될 수 있다. 도 8a는 기화기 온도의 함수로 두 가지 변수, 즉 오른쪽 수직축에 기화기의 압력과, 도 1d에 도시된 것과 유사한 고 전류 주입기의 사후 분석 파라데이 컵으로 전달된 이온 전류의 플롯을 나타낸다. 도 3을 다시 참조하면, 기화기 압력은 밸브(110)와 통해 있는 커패시턴스 압력계(60)를 통해 측정되었다. 일반적인 소스 작동 매개변수는, 밸브(100과 110) 온도 = 120℃, 소스 블록(35) 온도 = 120℃, 전자 이온화 에너지 = 1 keV, 전자빔 전류 ≒ 70 mA 이었다. 이는, Vc = -1kV, Va = 1.3kV, Vr = -1kV, 필라멘트 방출 전류 = 160mA로 설정함으로써 이루어졌다.
도 8b는 B18H22의 분자 구조를 나타내고, H 원자 (밝은 구)와 B 원자 (어두운 구)의 상대적인 위치를 나타낸다.
도 9는, 도 1d에 나타낸 것과 유사한 클러스터 이온 주입 시스템에서, 도 8a를 생성하는데 사용된 것과 유사한 조건에서 수집된 옥타데카보란 질량 스펙트럼을 나타낸다. 가변 분해 에퍼쳐(270)는 높은 질량 분해능으로 설정되었고, 이 높은 질량 분해능은 하류 파라데이 컵에 4개의 AMU 와이드 이온 빔(240)을 선택했다. 도 10은, 도 9의 데이터를 만드는데 사용된 것과 유사한 조건에서 수집된, 음이온과 양이온 모두의 옥타데카보란 질량 스펙트럼을 나타낸다. 주입기 전원의 극성은, 서로 수 분 이내에 수집되고, 동일 플롯에 기록된 음이온과 양이온 사이에서 스위칭되도록 역전되었다. B18Hx +와 B18Hx - 피크는 210 AMU로, B18H16 +와 B18H16 - 이온 각각에 대해 가장 예상되는 화학식을 제안한다. 도 11a는 도 9의 데이터를 수집하는데 사용된 것과 유사한 조건에서 수집되었지만, 분해 에퍼쳐(270)는 약 18 AMU가 하류로 흐르도록 설정되어, 훨씬 더 높은 B18Hx + 전류를 허용한다. 그러나, 주 피크에서 구조의 부족은 질량 분해능이 감소되었음을 입증한다. 도 11b는 가장 높은 질량 분해능으로 수집된 상세도이다. 분해 에퍼쳐가 1mm 미만으로 설정되어 있으면, 하나의 AMU만이 파라데이로 하류 통과했다. 그래서, 1 AMU로 분리된 개별 수소화 붕소 피크를 분명하게 볼 수 있다. 도 12는, 도 11a의 저 질량 분해능으로 수집되고, 이온빔의 감속이 전혀 없는 추출 전압 대(對) 파라데이 빔 전류의 플롯을 나타낸다. 도 13은, 모노머 붕소 주입의 비교 수단으로, 유효 주입 에너지 대 원자 붕소 전류로 변환된 도 12의 데이터를 나타낸다. 원자 붕소 전류 = 18 ×옥타데카보란 파라데이 전류이고, 유효 주입 에너지 = 11/210 ×추출 전압이다. 이러한 전류는 종래의 모노머 붕소 주입 (특히, 이온 감속이 없는)으로 현재 얻을 수 있는 것보다 여러 배가 더 크다.
반도체를 붕소 도핑하는 B18Hx +의 주입 프로파일의 특징을 나타내기 위해서, 시판용 규소 웨이퍼를 HF 용액에 담가서 임의의 본래 산화물을 제거하고, 도 1d에 나타낸 것과 유사한 클러스터 이온 주입 시스템에 주입되었다. 1.1 ×1015cm-2의 B18Hx + 선량을 주입함으로써, 2 ×1016cm-2의 붕소 선량이 전달되었다. 주입하는 동안 B18Hx + 이온 에너지는 20keV로, 붕소 원자 당 약 1 keV의 유효 붕소 주입 에너지를 나타낸다. 도 20은, SIMS (이차 이온 질량 분광법)에 의해 측정된 주입 붕소 프로파일을 나타낸다. 프로파일의 피크는 약 50Å로, 이는 1 keV 붕소 주입을 위해 TRIM 계산에 의해 예측된 58Å의 방출 범위와 매우 잘 맞는다.
N-타입과 P-타입의 미세 접합 형성
이 방법의 중요한 용도는, CMOS 제조 순서의 일부로 N-타입과 P-타입의 미세한 접합을 형성하기 위해 클러스터 이온 주입을 사용하는 것이다. CMOS는 현재 사용되는 주요 디지털 집적 회로 기술이고, 그 이름은 동일한 칩 위에 N-채널과 P-채널 MOS 트랜지스터 (상보적인 MOS: N과 P 모두) 모두를 형성한 것을 나타낸다. CMOS의 성공은, 회로 설계자가 보다 나은 회로, 구체적으로 대안 기술보다 약한 전력을 끌어내는 회로를 만들기 위해 서로 정반대인 트랜지스터의 상보적인 성질을 이용할 수 있다. N과 P라는 용어는 음(Negative)과 양(Positive) (N-타입 반도체는 음의 다수 캐리어를 갖고, 이 역도 또한 같다)을 기초로 하고, N-채널과 P-채널 트랜지스터는, 각 영역의 타입(극성)이 반대로 된 서로의 복제라는 것을 주목해야 한다 동일 기판에 양 타입의 트랜지스터의 제조는, N-타입 불순물 다음에 P-타입의 불순물을 차례로 주입하는 한편, 다른 타입의 디바이스는 포토레지스트의 차폐 층으로 보호하는 것을 필요로 한다. 각각의 트랜지스터 타입은 올바르게 작동하기 위해 양 극성의 영역을 필요로 하지만, 미세한 접합을 형성하는 주입은 트랜지스터와 동일한 타입이라는 것, 즉 N-채널 트랜지스터에 대한 N-타입의 미세한 주입과, P-채널 트랜지스터에 대한 P-타입의 미세한 주입이라는 것을 주목해야 한다. 이러한 공정의 한 가지 예가 도 14와 도 15에 나타나 있다. 특히, 도 14는 N-타입 클러스터 주입(88)을 통해 N-채널 드레인 확장부(89)를 형성하는 방법을 예시한 반면, 도 15는 P-타입 클러스터 주입(91)에 의해 P-채널 드레인 확장부(90)를 형성하는 것을 나타낸다. N-타입과 P-타입의 트랜지스터는 유사한 기하구조의 미세 접합을 필요로 하고, 이에 따라 N-타입과 P-타입 클러스터 주입 모두를 갖는 것은 진보된 CMOS 구조를 형성하는데 유리하다는 것을 이해해야 한다.
이러한 방법을 적용한 예는 NMOS 트랜지스터를 형성한 경우에 대해 도 16에 나타나 있다. 이 도면은, 반도체 디바이스를 제조하는 프론트 엔드 공정의 일부를 거치는 반도체 기판(41)을 나타낸다. 예를 들어, 이 구조는 P-웰 (P-well)(43), 트렌치 절연 (trench isolation)(42), 게이트 스택 형성(44,45) 단계를 통해 가공된 N-타입 반도체 기판(41)으로 이루어진다. 게이트 스택, P-웰 및 트렌치 절연을 형성하는 예시적인 공정은, 대리인 관리 번호 제 211843/00030호인, "반도체 디바이스와, 반도체 디바이스를 제조하는 방법"이라는 명칭으로 2003년 6월 18일자로 출원된 공동 계류 중인 특허 출원서 PCT/US03/19085에 기재되어 있다.
P-웰(43)은 N-타입의 기판(41)과 접합을 형성하고, 이는 웰(43)에 트랜지스터용 접합 절연을 제공한다. 트렌치 절연(42)은 N-타입 웰과 P-타입 웰 (즉, 전체 CMOS 구조에서) 사이에 측면 유전체 절연을 제공한다. 게이트 스택은 게이트 산화물 층(44)과 폴리실리콘 게이트 전극(45)을 이용해서, 트랜지스터 게이트 스택을 형성하도록 일정한 패턴으로 구성된다. NMOS 트랜지스터 영역이 노출되지만, 기판(41)의 다른 영역은 차폐되도록, 포토레지스트(46)가 도포 및 패턴화된다. 포토레지스트(41)가 도포된 후, 기판(41)은 드레인 확장부 주입을 위해 준비되는데, 이는 디바이스 제조 공정이 필요로 하는 가장 미세한 도핑층이다. 0.13㎛ 기술 노드의 리딩 에지 디바이스를 위해 일반적인 공정 요건은 1 keV 내지 2 keV의 비소 주입 에너지와, 5 ×1014cm-2의 비소 선량이다. 클러스터 이온빔(47)(이 경우, As4Hx +)은 반도체 기판을 향하고, 일반적으로 이온빔의 전파 방향은 기판에 수직으로, 게이트 스택에 의한 셰도잉 (shadowing)을 방지한다. As4Hx + 클러스터의 에너지는 원하는 As+ 주입 에너지의 4배, 예를 들어 4 keV 내지 8 keV이어야만 한다. 클러스터는 기판과 충격시 분해되고, 도핑제 원자는 드레인 확장부 영역(48)을 형성하는 반도체 기판 표면 근처의 미세 층에 존재하게 된다. 동일 주입이 게이트 전극(49)의 표면층에 들어가서, 게이트 전극을 위한 추가 도핑을 제공한다는 것을 주목해야 한다. 도 16에 기술된 공정은 제안된 발명의 한 가지 중요한 용도이다.
본 발명의 용도에 대한 추가 예가 도 17 (딥 소스/드레인 영역의 형성)에 도시되어 있다. 이 도면은 반도체 디바이스 제조시 추가 공정을 실행한 후, 도 16의 반도체 기판(41)을 나타낸다. 이러한 추가 공정 단계는, 패드 산화물(51)을 형성하는 단계와, 게이트 스택의 측벽에 스페이서(52)를 형성하는 단계를 포함한다. 패드 산화물(51)은 노출된 기판 영역, 게이트 전극(49)의 윗면, 잠재적으로 노출된 게이트 유전체 에지를 보호하기 위해 사용되는 산화물 (이산화 규소)의 박층이다. 패드 산화물(51)은 일반적으로 5 내지 10nm의 두께로 열적 성장한다. 다른 한편, 스페이서(52)는 이산화규소, 질화 규소, 또는 이들의 결합물인 유전체 영역으로, 이는 게이트 스택의 면에 있고, 게이트 전극을 절연하도록 작용한다. 이는 또한 소스/드레인 주입(예를 들어, 54)을 위한 정렬 가이드(alignment guide)로 작용하고, 이는 트랜지스터가 적절하게 작용하도록 게이트 에지와 떨어져서 간격을 두고 있어야만 한다. 스페이서(52)는 이산화규소 및/또는 질화 규소 층의 증착을 통해 형성되고, 이 층은 다음으로 게이트 스택의 면에 잔류층을 남기면서 소스/드레인 영역으로부터 유전체를 제거하도록 플라즈마 에칭된다.
스페이서(52)를 에칭한 후, 포토레지스트 층(53)이 도포 및 패턴화되어, 트랜지스터 (이 예에서는 NMOS 트랜지스터)가 주입되도록 노출시킨다. 다음으로, 소스와 드레인 영역(55)을 형성하기 위한 이온 주입이 실행된다. 이러한 주입은 저 에너지에서 높은 선량을 필요로 하기 때문에, 이는 제안된 클러스터 주입 방법의 적절한 용도이다. 0.13㎛에 대한 일반적인 주입 매개변수는 5 ×1015cm-2의 비소 선량에서 비소 원자(54) 당 6 keV가 적당하기 때문에, 24 keV, 1.25 ×1015cm-2 As4Hx + 주입, 12 keV, 2.5 ×1015cm-2 As4Hx + 주입, 또는 6 keV, 5 ×1015cm-2 As+ 주입을 필요로 한다. 도 16에 도시된 바와 같이, 소스와 드레인 영역(55)은 이러한 주입을 통해 형성된다. 이러한 영역은, 회로 상호연결 (나중에 공정에서 형성)과, 채널 영역(56)과 게이트 스택(44,45)과 함께 드레인 확장부(48)에 의해 한정된 고유 트랜지스터 사이에, 고 전도성 연결을 제공한다. 게이트 전극(45)은 이러한 주입(도시된)에 노출될 수 있고, 노출될 경우, 소스/드레인 주입은 게이트 전극을 위한 일차 도핑 소스를 제공한다. 이는 다중 도핑층(57)으로 도 17에 도시되어 있다.
PMOS 드레인 확장부(148)와, PMOS 소스 및 드레인 영역(155)의 형성을 나타낸 상세한 도면이 각각 도 18과 도 19에 도시되어 있다. 구조와 공정은 도핑제 타입이 반대로 되고 도 17 및 18과 동일하다. 도 18에서, PMOS 드레인 확장부(148)는 붕소 클러스터 주입(147)의 주입을 통해 형성된다. 이러한 주입의 일반적인 매개변수는, 0.13㎛ 기술의 노드에 대해, 5 ×1014cm-2의 선량으로, 붕소 원자 당 500eV의 주입 에너지가 될 것이다. 그래서, 211 AMU에서 B18Hx + 주입은 2.8 × 1013cm-2의 옥타데카보란 선량에서 9.6 keV가 될 것이다. 도 19는, 또 옥타데카보란과 같은 P-타입 클러스터 이온빔(154)의 주입을 통한, PMOS 소스와 드레인 영역(148)의 형성을 나타낸다. 이러한 주입에 대한 일반적인 매개변수는, 0.13㎛ 기술의 노드에 대해, 5 ×1015cm-2의 붕소 선량으로 (즉, 2.8 ×1014cm-2에서 38.4 keV의 옥타데카보란), 붕소 원자 당 약 2 keV의 주입 에너지가 될 것이다.
일반적으로, 효과적인 반도체 접합을 형성하기 위해서는, 이온 주입만으로는 충분하지 않다. 주입된 도핑제를 전기적으로 활성화시키기 위해서는 열 처리가 필요하다. 주입 후, 반도체 기판의 결정 구조는 크게 손상되고 (결정 격자 위치 밖으로 기판 원자가 이동), 주입된 도핑제는 기판 원자에 약하게만 결합되어, 주입된 층은 좋지 않은 전기 특성을 갖는다. 고온 (900℃ 이상)에서의 열 처리, 또는 어닐링은, 반도체 결정 구조를 복구하고, 도핑제 원자의 위치를, 결정 구조에서 기판 원자 중 하나의 위치로 치환하기 위해 일반적으로 수행된다. 이러한 치환은 도핑제가 기판 원자와 결합하고, 전기적으로 활성이 되도록 한다. 즉, 반도체 층의 전도성을 바꾼다. 열 처리 동안, 주입된 도핑제의 확산이 일어나기 때문에, 이러한 열 처리는 미세 접합 형성을 방해한다. 열 처리 중의 붕소 확산은, 사실상, 0.1 미크론 미만의 범위에서 USJ를 이루는 제한 요소이다. "스파이크 어닐링"과 같은 미세 주입 도핑제의 확산을 최소화하기 위해, 이러한 열 처리의 발전된 공정이 개발되었다. 스파이크 어닐링은 신속한 열 공정으로, 최고 온도에서 머무르는 시간은 0에 가깝다. 온도는 가능한 한 신속하게 상승 하강한다. 이러한 방식으로, 주입 도핑제를 활성화하는데 필요한 최고 온도는, 주입된 도핑제의 확산이 최소화되는 동안 도달한다. 이러한 발전된 열 처리는, 완성된 반도체 디바이스 제조시 그 이점을 최대화하기 위해, 본 발명과 함께 사용될 것으로 예상된다.
명백하게, 상기 교시에 따라 본 발명의 많은 변형과 변화가 가능하다. 따라서, 첨부된 청구항 범위 내에서, 본 발명은 구체적으로 상술된 것과는 다르게 실행될 수 있다는 점을 이해해야 한다.
특허증에 의해 청구되고 포함되는 것이 바람직한 것은 다음과 같다.
상술한 바와 같이, 본 발명은, BnHx +와 BnHx - (10 ≤n ≤100, 0 ≤x ≤n+4) 형태의 이온화 클러스터를 이용해서 반도체 기판에 P-타입 (즉, 엑셉터) 전도성의 초미세 불순물 도핑 영역을 형성하는데 사용된다.

Claims (12)

  1. 자기장을 생성하는 자기 요크 어셈블리(magnetic yoke assembly)로서, 한 쌍의 극부(pole piece)로부터 형성된 요크와,
    한 쌍의 영구 자석으로서, 각각의 영구 자석은 요크 어셈블리를 형성하는 상기 극부 사이에 배치되는 서로 반대의 N극과 S극을 갖는, 한 쌍의 영구 자석과,
    상기 극부에 형성된 한 쌍의 정렬된 에퍼쳐(aperture)를
    포함하는, 자기 요크 어셈블리.
  2. 제 1항에 있어서, 상기 영구 자석은, 상기 영구 자석의 상기 N극과 S극이 정렬되도록 구성되어 있는, 자기 요크 어셈블리.
  3. 자기 요크 어셈블리로서,
    제 1축을 중심으로 감긴 자기 코일 어셈블리와,
    상기 자기 코일의 양 단부에 자기 연결되어 있는 상부 요크와 하부 요크로서, 정렬된 에퍼쳐로 형성된 상기 상부와 하부 요크는, 상기 에퍼쳐를 통한 하나의 선이 상기 제 1축과 평행하도록 구성된, 상부 요크와 하부 요크를,
    포함하는, 자기 요크 어셈블리.
  4. 제 3항에 있어서, 상기 상부와 하부 요크의 연장 단부에 자기 결합된 한 쌍 의 극부를 더 포함하는, 자기 요크 어셈블리.
  5. 제 1항에 있어서, 상기 극부는 동일한 형태로 형성되는, 자기 요크 어셈블리.
  6. 제 5항에 있어서, 상기 극부는 이온화 챔버를 수용하도록 구성되는, 자기 요크 어셈블리.
  7. 제 6항에 있어서, 상기 자기 요크 어셈블리는, 상기 극부에서 상기 에퍼쳐를 연결하는 축이 상기 N극 및 S극을 연결시키는 축에 평행하도록 구성되는, 자기 요크 어셈블리.
  8. 제 1항에 있어서, 상기 쌍의 극부는 상부 극부 및 하부 극부를 한정하고, 상기 상부 극부는 상기 쌍의 자석에서 유사한 극을 연결하도록 구성되고, 상기 하부 극부는 대향하는 쌍의 자석을 연결하도록 구성되는, 자기 요크 어셈블리.
  9. 제 3항에 있어서, 상기 극부 및 자석은 직사각형 형태의 어셈블리를 형성하는, 자기 요크 어셈블리.
  10. 제 8항에 있어서, 상기 자기 코일 어셈블리는 보빈 코어(bobin core), 및 상기 보빈 코어 주위에 감겨진 자석을 포함하고, 상기 극부는 상기 보빈 코어에 자기적으로 결합되는, 자기 요크 어셈블리.
  11. 제 10항에 있어서, 상기 극부는 이격되고, 상기 제 1 축에 수직인 제 2 축에 대해 서로 평행한, 자기 요크 어셈블리.
  12. 제 3항에 있어서, 상기 상부 및 하부 요크는 이온화 챔버를 수용할 정도로 충분한 거리로 이격되는, 자기 요크 어셈블리.
KR1020067022361A 2002-06-26 2003-06-26 자기 요크 어셈블리 KR100788474B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/183,768 2002-06-26
US10/183,768 US6686595B2 (en) 2002-06-26 2002-06-26 Electron impact ion source
US46396503P 2003-04-18 2003-04-18
US60/463,965 2003-04-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020047020953A Division KR100703121B1 (ko) 2002-06-26 2003-06-26 이온 주입 방법

Publications (2)

Publication Number Publication Date
KR20060118621A KR20060118621A (ko) 2006-11-23
KR100788474B1 true KR100788474B1 (ko) 2007-12-24

Family

ID=34278019

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020047020953A KR100703121B1 (ko) 2002-06-26 2003-06-26 이온 주입 방법
KR1020067022376A KR100797138B1 (ko) 2002-06-26 2003-06-26 상보형 금속 산화막 반도체 디바이스, 및 금속 산화막 반도체 디바이스와 상보형 금속 산화막 반도체 디바이스를 형성하는 방법
KR1020067022361A KR100788474B1 (ko) 2002-06-26 2003-06-26 자기 요크 어셈블리
KR1020067022364A KR100827670B1 (ko) 2002-06-26 2003-06-26 이온 소스
KR1020077029103A KR100864048B1 (ko) 2002-06-26 2003-06-26 이온 소스
KR1020067022367A KR100788472B1 (ko) 2002-06-26 2003-06-26 이온 소스용 증기 소스

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020047020953A KR100703121B1 (ko) 2002-06-26 2003-06-26 이온 주입 방법
KR1020067022376A KR100797138B1 (ko) 2002-06-26 2003-06-26 상보형 금속 산화막 반도체 디바이스, 및 금속 산화막 반도체 디바이스와 상보형 금속 산화막 반도체 디바이스를 형성하는 방법

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020067022364A KR100827670B1 (ko) 2002-06-26 2003-06-26 이온 소스
KR1020077029103A KR100864048B1 (ko) 2002-06-26 2003-06-26 이온 소스
KR1020067022367A KR100788472B1 (ko) 2002-06-26 2003-06-26 이온 소스용 증기 소스

Country Status (7)

Country Link
US (2) US7960709B2 (ko)
EP (1) EP1579481B1 (ko)
JP (3) JP4749713B2 (ko)
KR (6) KR100703121B1 (ko)
CN (1) CN102034665B (ko)
AU (1) AU2003258960A1 (ko)
WO (1) WO2004003973A2 (ko)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838842B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. Dual mode ion source for ion implantation
US6686595B2 (en) 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
KR100703121B1 (ko) * 2002-06-26 2007-04-05 세미이큅, 인코포레이티드 이온 주입 방법
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US20070010095A1 (en) * 2003-05-28 2007-01-11 Kyoto University Surface treatment method using ion beam and surface treating device
USH2212H1 (en) * 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
EP1695369A4 (en) * 2003-12-12 2009-11-04 Semequip Inc METHOD AND DEVICE FOR EXTENDING DEVICE TERMINATION IN ION IMPLANTATION
US7791047B2 (en) 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
TWI375660B (en) 2004-01-22 2012-11-01 Semequip Inc Isotopically-enriched boranes and methods of preparing them
TWI372725B (en) 2004-01-30 2012-09-21 Semequip Inc Methods of synthesis of isotopically enriched borohydride and methods of synthesis of isotopically enriched boranes
WO2005074586A2 (en) * 2004-02-02 2005-08-18 Semequip Inc. Method of production of b10h102- ammonium salts and methods of production of b18h22
WO2006007111A2 (en) * 2004-07-01 2006-01-19 Nikon Corporation A dynamic fluid control system for immersion lithography
US7397048B2 (en) * 2004-09-17 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Technique for boron implantation
WO2006047061A2 (en) * 2004-10-22 2006-05-04 Semequip Inc. Use of defined compounds for the manufacture of a medicament for preventing/ treating diseases resulting from somatic mutation
WO2006064772A1 (ja) * 2004-12-13 2006-06-22 Matsushita Electric Industrial Co., Ltd. プラズマドーピング方法
JP4659023B2 (ja) * 2005-02-24 2011-03-30 株式会社アルバック イオン注入装置の制御方法、その制御システム、その制御プログラムおよびイオン注入装置
JP2006273730A (ja) * 2005-03-28 2006-10-12 Wataru Murota 酸素含有型還元性生理食塩水又は酸素含有型還元性輸液及びその製造方法
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
TWI476292B (zh) 2005-08-30 2015-03-11 尖端科技材料股份有限公司 利用選擇性氟化硼前驅物之硼離子植入方法,及供植入用之大群氫化硼之形成方法
US20100112795A1 (en) * 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
US8642135B2 (en) 2005-09-01 2014-02-04 Micron Technology, Inc. Systems and methods for plasma doping microfeature workpieces
US7666771B2 (en) * 2005-12-09 2010-02-23 Semequip, Inc. System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US20070178679A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US20070187613A1 (en) * 2006-02-16 2007-08-16 Kahilainen Jukka O Method for supporting an electrode
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
JP4882456B2 (ja) * 2006-03-31 2012-02-22 株式会社Ihi イオン注入装置
WO2007118121A2 (en) 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7435971B2 (en) * 2006-05-19 2008-10-14 Axcelis Technologies, Inc. Ion source
US8110815B2 (en) * 2006-06-12 2012-02-07 Semequip, Inc. Vapor delivery to devices under vacuum
JP5258757B2 (ja) * 2006-06-13 2013-08-07 セムイクウィップ・インコーポレーテッド イオンビーム装置およびイオン注入方法
TW200829942A (en) * 2006-06-13 2008-07-16 Semequip Inc Magnetic analyzer apparatus and method for ion implantation
WO2008003526A2 (en) * 2006-07-06 2008-01-10 Ion Beam Applications S.A. Method and software for irradiating a target volume with a particle beam and device implementing same
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
KR100835355B1 (ko) * 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
JP4946256B2 (ja) * 2006-08-11 2012-06-06 日新イオン機器株式会社 電界レンズおよびそれを備えるイオン注入装置
US7605382B2 (en) * 2006-10-31 2009-10-20 Nissin Ion Equipment Co., Ltd. Ion implanter
US8586459B2 (en) * 2006-11-06 2013-11-19 Semequip, Inc. Ion implantation with molecular ions containing phosphorus and arsenic
WO2008070453A2 (en) * 2006-11-22 2008-06-12 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizer for use in such system
US7919402B2 (en) 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
JP2008166660A (ja) * 2007-01-05 2008-07-17 Matsushita Electric Ind Co Ltd 半導体製造装置
WO2008128039A2 (en) * 2007-04-11 2008-10-23 Semequip, Inc. Cluster ion implantation for defect engineering
JP5341070B2 (ja) * 2007-05-22 2013-11-13 セムイクウィップ・インコーポレーテッド 分子イオンから成るイオンビームを抽出する方法およびシステム(クラスタイオンビーム抽出システム)
US20080305598A1 (en) * 2007-06-07 2008-12-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
JP2009027027A (ja) * 2007-07-20 2009-02-05 Toshiba Corp 半導体装置の製造方法
WO2009039884A1 (en) * 2007-09-26 2009-04-02 Ion Beam Applications S.A. Particle beam transport apparatus and method of transporting a particle beam with small beam spot size
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
CN101848855B (zh) * 2007-11-02 2016-10-12 塞门库普公司 簇硼的制备方法
US8673251B2 (en) * 2007-11-02 2014-03-18 Semequip, Inc. Methods of preparing Clusterboron
JP5710974B2 (ja) * 2007-11-02 2015-04-30 セメクイップ, インコーポレイテッド クラスターボロンの調製方法
EP2068345B1 (en) * 2007-12-05 2016-07-20 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH High resolution gas field ion column with reduced sample load
SG188150A1 (en) 2008-02-11 2013-03-28 Advanced Tech Materials Ion source cleaning in semiconductor processing systems
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7994488B2 (en) * 2008-04-24 2011-08-09 Axcelis Technologies, Inc. Low contamination, low energy beamline architecture for high current ion implantation
GB2460855B (en) * 2008-06-11 2013-02-27 Kratos Analytical Ltd Electron spectroscopy
US7759657B2 (en) 2008-06-19 2010-07-20 Axcelis Technologies, Inc. Methods for implanting B22Hx and its ionized lower mass byproducts
US8124946B2 (en) * 2008-06-25 2012-02-28 Axcelis Technologies Inc. Post-decel magnetic energy filter for ion implantation systems
WO2010036621A2 (en) * 2008-09-25 2010-04-01 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
US7982196B2 (en) * 2009-03-31 2011-07-19 Tel Epion Inc. Method for modifying a material layer using gas cluster ion beam processing
WO2010118266A2 (en) * 2009-04-10 2010-10-14 Applied Materials, Inc. Use special ion source apparatus and implant with molecular ions to process hdd (high density magnetic disks) with patterned magnetic domains
US20110021011A1 (en) * 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US20110070724A1 (en) * 2009-09-21 2011-03-24 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
CN102668016B (zh) 2009-10-27 2016-02-24 安格斯公司 离子注入系统及方法
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
KR101933225B1 (ko) 2010-01-14 2018-12-27 엔테그리스, 아이엔씨. 환기 가스 관리 시스템 및 공정
TWI582836B (zh) 2010-02-26 2017-05-11 恩特葛瑞斯股份有限公司 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
US8779383B2 (en) 2010-02-26 2014-07-15 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same
JP5714831B2 (ja) * 2010-03-18 2015-05-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8344337B2 (en) 2010-04-21 2013-01-01 Axcelis Technologies, Inc. Silaborane implantation processes
US11199769B2 (en) * 2010-08-23 2021-12-14 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
CN106237934B (zh) * 2010-08-30 2019-08-27 恩特格里斯公司 由固体材料制备化合物或其中间体以及使用该化合物和中间体的设备和方法
US8519353B2 (en) * 2010-12-29 2013-08-27 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for controlling an asymmetric electrostatic lens about a central ray trajectory of an ion beam
JP5614810B2 (ja) * 2011-04-25 2014-10-29 日本電信電話株式会社 注入方法
KR101982903B1 (ko) 2012-02-14 2019-05-27 엔테그리스, 아이엔씨. 주입 용품에서 인 축적을 최소화하기 위한 대체 물질 및 혼합물
SG11201404872SA (en) 2012-02-14 2014-09-26 Advanced Tech Materials Carbon dopant gas and co-flow for implant beam and source life performance improvement
GB2518122B (en) * 2013-02-19 2018-08-08 Markes International Ltd An electron ionisation apparatus
GB2562170B (en) * 2013-02-19 2019-02-06 Markes International Ltd A method of ionising analyte molecules for analysis
JP5965345B2 (ja) * 2013-03-29 2016-08-03 住友重機械イオンテクノロジー株式会社 イオン注入装置のための高電圧電極の絶縁構造および高電圧絶縁方法
US9187832B2 (en) * 2013-05-03 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Extended lifetime ion source
SG10201801299YA (en) 2013-08-16 2018-03-28 Entegris Inc Silicon implantation in substrates and provision of silicon precursor compositions therefor
TWI686838B (zh) 2014-12-26 2020-03-01 美商艾克塞利斯科技公司 改善混合式掃描離子束植入機之生產力的系統及方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10969370B2 (en) * 2015-06-05 2021-04-06 Semilab Semiconductor Physics Laboratory Co., Ltd. Measuring semiconductor doping using constant surface potential corona charging
KR20170004381A (ko) * 2015-07-02 2017-01-11 삼성전자주식회사 불순물 영역을 포함하는 반도체 장치의 제조 방법
JP6649812B2 (ja) * 2016-03-09 2020-02-19 浜松ホトニクス株式会社 帯電処理装置及び電子源ユニット
US10774419B2 (en) * 2016-06-21 2020-09-15 Axcelis Technologies, Inc Implantation using solid aluminum iodide (ALI3) for producing atomic aluminum ions and in situ cleaning of aluminum iodide and associated by-products
US9691584B1 (en) * 2016-06-30 2017-06-27 Varian Semiconductor Equipment Associates, Inc. Ion source for enhanced ionization
KR101977702B1 (ko) * 2016-12-09 2019-05-14 에스케이하이닉스 주식회사 이온 소스 헤드 및 이를 포함하는 이온 주입 장치
JP7253647B2 (ja) * 2017-04-21 2023-04-06 株式会社ホロン 電子ビーム・イオン発生装置および電子ビーム・イオン発生方法
JP6686962B2 (ja) * 2017-04-25 2020-04-22 信越半導体株式会社 貼り合わせウェーハの製造方法
US10714301B1 (en) * 2018-02-21 2020-07-14 Varian Semiconductor Equipment Associates, Inc. Conductive beam optics for reducing particles in ion implanter
JP7122699B2 (ja) * 2018-08-23 2022-08-22 パナソニックIpマネジメント株式会社 材料情報出力方法、材料情報出力装置、材料情報出力システム、及びプログラム
CN109256314B (zh) * 2018-10-11 2020-08-28 中国电子科技集团公司第四十八研究所 一种基片的定点离子注入装置及注入方法
CN110013881B (zh) * 2019-04-30 2021-10-15 哈尔滨工业大学 原子级分散的金属与氮共掺杂碳基氧还原反应催化剂的制备方法
US11232925B2 (en) 2019-09-03 2022-01-25 Applied Materials, Inc. System and method for improved beam current from an ion source
US11120966B2 (en) 2019-09-03 2021-09-14 Applied Materials, Inc. System and method for improved beam current from an ion source

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920004876A (ko) * 1990-08-29 1992-03-28 다나까 야스오 2차원자기주사를 사용하여 원자 및 분자이온들을 표면에 조사하는 시스템

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3557365A (en) * 1967-12-22 1971-01-19 Perkin Elmer Corp Ion source for a mass spectrometer
US3654457A (en) * 1968-02-12 1972-04-04 Hitachi Ltd Ion source device equipped with sample heating means for use in mass spectrometer
DE1915692A1 (de) * 1968-04-01 1969-12-18 Ceskoslovenska Akademie Ved Verfahren zur Dotierung der Halbleiterkristalle und Halbleiterschichten
US3581195A (en) * 1968-06-10 1971-05-25 Varian Associates Detection of vacuum leaks by gas ionization method and apparatus providing decreased vacuum recovery time
JPS492787Y1 (ko) * 1969-02-25 1974-01-23
US3915757A (en) * 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3908183A (en) * 1973-03-14 1975-09-23 California Linear Circuits Inc Combined ion implantation and kinetic transport deposition process
US4217855A (en) * 1974-10-23 1980-08-19 Futaba Denshi Kogyo K.K. Vaporized-metal cluster ion source and ionized-cluster beam deposition device
GB1483966A (en) * 1974-10-23 1977-08-24 Sharp Kk Vapourized-metal cluster ion source and ionized-cluster beam deposition
JPS51115852A (en) * 1975-04-04 1976-10-12 Nissan Motor Co Ltd Detector of the revolving angle and revolution of a revolving body
JPS5283084A (en) * 1975-12-30 1977-07-11 Futaba Denshi Kogyo Kk Pn junction solid state element and method of producing same
DE2610165C2 (de) * 1976-03-11 1983-11-10 Gesellschaft für Schwerionenforschung mbH, 6100 Darmstadt Duoplasmatron-Ionenquelle zur Erzeugung mehrfach geladener Ionen
US4112306A (en) * 1976-12-06 1978-09-05 Varian Associates, Inc. Neutron irradiation therapy machine
JPS5593639A (en) * 1979-01-08 1980-07-16 Hitachi Ltd Electromagnetic focussing cathode ray tube
JPS5593641A (en) * 1979-01-08 1980-07-16 Hitachi Ltd Electromagnetic focussing cathode ray tube
JPS5672423A (en) * 1979-11-16 1981-06-16 Canon Inc Electromagnetic-drive slit exposure shutter
US4412900A (en) * 1981-03-13 1983-11-01 Hitachi, Ltd. Method of manufacturing photosensors
US4366459A (en) * 1981-07-09 1982-12-28 Hi-G Incorporated Miniature magnetic latch relay
US4649378A (en) * 1983-11-18 1987-03-10 Sperry Corporation Binary character generator for interlaced CRT display
US4587432A (en) * 1984-08-03 1986-05-06 Applied Materials, Inc. Apparatus for ion implantation
US4649278A (en) * 1985-05-02 1987-03-10 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Generation of intense negative ion beams
JPS62223957A (ja) * 1986-03-26 1987-10-01 Hitachi Ltd ハイブリツド荷電粒子光学系
FR2611975B1 (fr) * 1987-03-03 1995-02-17 Commissariat Energie Atomique Systeme d'aimants permanents pour un champ magnetique intense
JPH0191431A (ja) * 1987-04-16 1989-04-11 Sumitomo Eaton Noba Kk イオン打ち込み装置におけるウエハ帯電量検知装置
CN1020028C (zh) * 1987-08-18 1993-03-03 联邦德国Itt工业股份有限公司 制作cmos集成电路的注入井和岛的方法
GB8803837D0 (en) * 1988-02-18 1988-03-16 Vg Instr Group Mass spectrometer
JPH01225117A (ja) * 1988-03-04 1989-09-08 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法及びその製造装置
US5313061A (en) * 1989-06-06 1994-05-17 Viking Instrument Miniaturized mass spectrometer system
JPH05106037A (ja) * 1991-10-16 1993-04-27 Mitsubishi Electric Corp イオン注入装置及びその制御方法
EP0551117A2 (en) * 1992-01-08 1993-07-14 Mitsubishi Denki Kabushiki Kaisha Large scale integrated circuit device and thin film forming method and apparatus for the same
JP2575933Y2 (ja) * 1992-01-31 1998-07-02 株式会社島津製作所 コリメーションマグネット付きイオン源
JPH05258710A (ja) * 1992-03-14 1993-10-08 Tokyo Electron Ltd イオン注入装置
JPH0793125B2 (ja) * 1992-05-13 1995-10-09 日新ハイボルテージ株式会社 負イオン注入方法
JP3460242B2 (ja) * 1993-03-12 2003-10-27 日新電機株式会社 負イオン注入装置
US5543625A (en) * 1994-05-20 1996-08-06 Finnigan Corporation Filament assembly for mass spectrometer ion sources
KR0131723B1 (ko) * 1994-06-08 1998-04-14 김주용 반도체소자 및 그 제조방법
JPH0817376A (ja) * 1994-07-01 1996-01-19 Mitsubishi Electric Corp イオン源およびイオン注入装置
US5489550A (en) * 1994-08-09 1996-02-06 Texas Instruments Incorporated Gas-phase doping method using germanium-containing additive
JP2642881B2 (ja) * 1994-09-28 1997-08-20 東京大学長 低速多価イオンによる超高感度水素検出法
US5686789A (en) 1995-03-14 1997-11-11 Osram Sylvania Inc. Discharge device having cathode with micro hollow array
US5672879A (en) * 1995-06-12 1997-09-30 Glavish; Hilton F. System and method for producing superimposed static and time-varying magnetic fields
US5993766A (en) * 1996-05-20 1999-11-30 Advanced Technology Materials, Inc. Gas source and dispensing system
JP3749924B2 (ja) * 1996-12-03 2006-03-01 富士通株式会社 イオン注入方法および半導体装置の製造方法
US5998838A (en) * 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
US6013546A (en) * 1997-12-19 2000-01-11 Advanced Micro Devices, Inc. Semiconductor device having a PMOS device with a source/drain region formed using a heavy atom p-type implant and method of manufacture thereof
US6093594A (en) * 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
TW473834B (en) * 1998-05-01 2002-01-21 Ibm Method of doping a gate and creating a very shallow source/drain extension and resulting semiconductor
US6403956B1 (en) * 1998-05-01 2002-06-11 California Institute Of Technology Temperature compensation for miniaturized magnetic sector
US6351010B1 (en) * 1998-09-22 2002-02-26 Sony Corporation Electrooptical device, substrate for driving electrooptical device and methods for making the same
US6232208B1 (en) * 1998-11-06 2001-05-15 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device having an improved gate electrode profile
US6352626B1 (en) * 1999-04-19 2002-03-05 Von Zweck Heimart Sputter ion source for boron and other targets
US6288403B1 (en) 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US6452338B1 (en) * 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
AU2430601A (en) * 1999-12-13 2001-06-18 Semequip, Inc. Ion implantation ion source, system and method
ITSV20000023A1 (it) * 2000-06-15 2001-12-15 Esaote Spa Procedimento per la realizzazione di dispositivi di generazione di campi magnetici nelle macchine per il rilevamento di immagini in risonanz
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6479828B2 (en) * 2000-12-15 2002-11-12 Axcelis Tech Inc Method and system for icosaborane implantation
JP3824058B2 (ja) * 2001-05-23 2006-09-20 独立行政法人産業技術総合研究所 カルボランスーパークラスターおよびその製造方法
US6830979B2 (en) * 2001-05-23 2004-12-14 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6750455B2 (en) * 2001-07-02 2004-06-15 Applied Materials, Inc. Method and apparatus for multiple charged particle beams
KR100429873B1 (ko) * 2001-07-19 2004-05-04 삼성전자주식회사 모스 트랜지스터 및 그 형성방법
US20030030010A1 (en) * 2001-08-07 2003-02-13 Perel Alexander S. Decaborane vaporizer having improved vapor flow
US20030111014A1 (en) * 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
GB2387022B (en) * 2002-03-28 2005-12-21 Applied Materials Inc Monatomic boron ion source and method
US7518124B2 (en) * 2002-03-28 2009-04-14 Applied Materials, Inc. Monatomic dopant ion source and method
US6686595B2 (en) * 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
JP2005531158A (ja) * 2002-06-26 2005-10-13 セムエキップ インコーポレイテッド 半導体デバイス及び半導体デバイスの製造方法
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
KR100703121B1 (ko) * 2002-06-26 2007-04-05 세미이큅, 인코포레이티드 이온 주입 방법
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6812073B2 (en) * 2002-12-10 2004-11-02 Texas Instrument Incorporated Source drain and extension dopant concentration
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US20080200020A1 (en) * 2003-06-18 2008-08-21 Semequip, Inc. Semiconductor device and method of fabricating a semiconductor device
US6995079B2 (en) * 2003-08-29 2006-02-07 Semiconductor Energy Laboratory Co., Ltd. Ion implantation method and method for manufacturing semiconductor device
WO2005074586A2 (en) * 2004-02-02 2005-08-18 Semequip Inc. Method of production of b10h102- ammonium salts and methods of production of b18h22
US7112789B2 (en) * 2004-05-18 2006-09-26 White Nicholas R High aspect ratio, high mass resolution analyzer magnet and system for ribbon ion beams
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US20060144332A1 (en) * 2005-01-04 2006-07-06 Sweeney Joseph D Controlled flow of source material via droplet evaporation
US7098099B1 (en) * 2005-02-24 2006-08-29 Texas Instruments Incorporated Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
US20070018130A1 (en) * 2005-07-20 2007-01-25 Hung-Nang Wu Ceramic valve for antifreezing faucets
US7666771B2 (en) * 2005-12-09 2010-02-23 Semequip, Inc. System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US8586459B2 (en) * 2006-11-06 2013-11-19 Semequip, Inc. Ion implantation with molecular ions containing phosphorus and arsenic
US20080305598A1 (en) * 2007-06-07 2008-12-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
US7759657B2 (en) * 2008-06-19 2010-07-20 Axcelis Technologies, Inc. Methods for implanting B22Hx and its ionized lower mass byproducts

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920004876A (ko) * 1990-08-29 1992-03-28 다나까 야스오 2차원자기주사를 사용하여 원자 및 분자이온들을 표면에 조사하는 시스템

Also Published As

Publication number Publication date
AU2003258960A8 (en) 2004-01-19
CN102034665A (zh) 2011-04-27
KR100864048B1 (ko) 2008-10-17
WO2004003973A3 (en) 2006-10-26
US20060097193A1 (en) 2006-05-11
EP1579481A4 (en) 2008-02-27
JP4749713B2 (ja) 2011-08-17
JP2014075346A (ja) 2014-04-24
KR20060118622A (ko) 2006-11-23
KR20060118019A (ko) 2006-11-17
AU2003258960A1 (en) 2004-01-19
KR100703121B1 (ko) 2007-04-05
KR20050012825A (ko) 2005-02-02
KR100788472B1 (ko) 2007-12-24
US20070194252A1 (en) 2007-08-23
KR20060118623A (ko) 2006-11-23
US7960709B2 (en) 2011-06-14
KR100797138B1 (ko) 2008-01-22
JP2010262930A (ja) 2010-11-18
CN102034665B (zh) 2014-06-25
EP1579481B1 (en) 2013-12-04
US7491953B2 (en) 2009-02-17
KR20060118621A (ko) 2006-11-23
KR100827670B1 (ko) 2008-05-07
JP2006515711A (ja) 2006-06-01
KR20080003014A (ko) 2008-01-04
WO2004003973A2 (en) 2004-01-08
EP1579481A2 (en) 2005-09-28

Similar Documents

Publication Publication Date Title
KR100788474B1 (ko) 자기 요크 어셈블리
US8071958B2 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
KR100702582B1 (ko) 기판에 도핑 물질을 주입하는 방법
TWI404128B (zh) 離子植入裝置及由碳硼烷聚集物離子衍生之離子植入的半導體製造方法
JP5258757B2 (ja) イオンビーム装置およびイオン注入方法
JP2010232668A (ja) N及びp型クラスターイオン及び陰イオンの注入によるcmos素子の製造方法
TWI263249B (en) An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121206

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131209

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181115

Year of fee payment: 12