KR100702582B1 - 기판에 도핑 물질을 주입하는 방법 - Google Patents

기판에 도핑 물질을 주입하는 방법 Download PDF

Info

Publication number
KR100702582B1
KR100702582B1 KR1020047021201A KR20047021201A KR100702582B1 KR 100702582 B1 KR100702582 B1 KR 100702582B1 KR 1020047021201 A KR1020047021201 A KR 1020047021201A KR 20047021201 A KR20047021201 A KR 20047021201A KR 100702582 B1 KR100702582 B1 KR 100702582B1
Authority
KR
South Korea
Prior art keywords
delete delete
ion
substrate
ions
energy
Prior art date
Application number
KR1020047021201A
Other languages
English (en)
Other versions
KR20050013636A (ko
Inventor
토마스엔. 호스키
데일씨. 자콥슨
웨이드에이. 크룰
Original Assignee
세미이큅, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세미이큅, 인코포레이티드 filed Critical 세미이큅, 인코포레이티드
Publication of KR20050013636A publication Critical patent/KR20050013636A/ko
Application granted granted Critical
Publication of KR100702582B1 publication Critical patent/KR100702582B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26566Bombardment with radiation with high-energy radiation producing ion implantation of a cluster, e.g. using a gas cluster ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • H01L21/425Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation

Abstract

반도체 디바이스 제조용 반도체 기판으로 클러스터 이온(cluster ion)을 주입하기 위한 이온 주입 시스템(ion implantation system)(10)이 기술되어 있다. N-형 및 P-형 도핑제의 클러스터가 주입되어 CMOS 디바이스에서 트랜지스터를 형성하는, 반도체 디바이스를 제조하는 방법이 개시되어 있다. 예를 들어, As4Hx + 클러스터, 및 B10Hx 또는 B10Hx + 클러스터는 As 및 C 도핑 소스로서 각각 사용된다. 반도체 디바이스 제조용 반도체 기판으로 클러스터 이온(cluster ion)을 주입하기 위한 이온 주입 시스템(10)이 기술되어 있다.

Description

기판에 도핑 물질을 주입하는 방법{METHOD OF IMPLANTING DOPANT MATERIALS INTO A SUBSTRATE}
관련 출원의 상호 참조
본 출원은 2002년 6월 26일자로 출원된 미국 가출원 제 60/392,271호 및 제 60/391,847호에 대한 우선권 및 이익을 주장한다. 또한, 본 특허 출원은 공동 소유되고 공동 계류 중인 2002년 9월 16일 출원된 미국 특허출원 제 10/244,617호와 2002년 9월 20일 출원된 미국 특허출원 제 10/251,491호에 대한 우선권을 주장한다.
본 발명은, 이온 주입 시스템과, N-형 도핑제 클러스터 이온의 클러스터로 형성된 이온빔(ion beam) 뿐만 아니라, 음으로 하전된 클러스터 이온빔을 주입하는 반도체 제조 방법에 관한 것이다.
반도체 디바이스의 제조는, 부분적으로, 불순물을 반도체 기판에 도입해서 도핑 영역(doping region)을 형성하는 것을 포함한다. 불순물 성분은 반도체 물질과 적절히 결합하여 전기 운반체(electrical carrier)를 생성하고, 반도체 물질의 전기 전도도(electrical conductivity)를 변화시키도록 선택된다. 전기 운반체는 전자(N-형 도핑제에 의해 생성됨) 또는 정공(hole)(P-형 도핑제에 의해 생성됨)일 수 있다. 도입된 도핑제 불순물의 농도는 형성된 영역의 전기 전도도를 결정한다. 이 같은 많은 N-형 및 P-형 불순물 영역은 공동으로 반도체 디바이스로 작용하는 트랜지스터 구조, 차단 구조 및 기타 이 같은 전자 구조를 형성하기 위해 생성되어야 한다.
도핑제를 반도체 기판에 도입하는 종래의 방법은 이온 주입에 의한 것이다. 이온 주입에서, 원하는 성분을 포함하는 공급 물질(feed material)이 이온 소스에 도입되고, 에너지가 공급 물질을 이온화하기 위해 도입되어, 도핑제 성분 (예를 들어, 성분 75As, 11B, 115In, 31P 또는 121Sb)을 포함하는 이온을 생성한다. 가속 전기장(accelerating electric field)은 전형적으로 양으로 하전된 이온을 추출하고 가속화하도록 제공되어, 이온빔을 생성한다. 이어, 당해 기술 분야에 공지된 바와 같이 질량 분석은 주입될 종을 선택하기 위해 사용되고, 이온빔은 반도체 기판으로 향한다. 가속 전기장은 이온이 타깃(target)을 투과하도록 하는 이온 운동 에너지를 제공한다. 이온의 에너지와 질량은 타깃 안으로 이들의 투과 깊이를 결정하고, 보다 높은 에너지 및/또는 보다 낮은 질량 이온은 이들의 보다 큰 속도로 인해 타깃을 보다 깊이 투과하게 한다. 이온 주입 시스템은, 이온빔 에너지, 이온빔 질량, 이온빔 전류(단위 시간당 전기 전하) 및 타깃에서 이온 투여량(ion dose)(타깃 안으로 투과하는 단위 면적당 이온의 총수)과 같은, 주입 공정의 임계 변수를 조심스럽게 조절하기 위해 구축되었다. 또한, 빔 각 분산(beam angular divergence)(이온이 기판을 때리는 각의 변화)과 빔 공간 균일성 및 정도는 또한 반도체 디바이스 수율을 보전하기 위해 조절되어야 한다.
최근, 음이온을 주입하는 것이 양이온을 주입하는 것보다 이점을 제공하는 것으로 인식되고 있다 {예를 들어, 문헌[D.C. Jacobson, Konstantin Bourdelle, H-J. Gossmann, M. Sosnowski, M.A. Albano, V.Babaram, J.M. Poate, Aditya Agarwal, Alex Perel, and Tom Horsky, "Decaborane, an Alternative Approach to Ultra Low Energy Ion Implantation", IEEE Proceedings of the XIIIth International Conference on Ion Implantation Technology, Alpsbach, Austria, 2000], 문헌[N. Kishimoto et al., "A High-Current Negative-Ion Implanter and its Application for; Nanocrystal Fabrication in Insulators", IEEE Proceedings of the XIIth International Conference on Ion Implantation Technology, Kyoto, Japan, June 22-26, 1998, (1999) 342-345], 문헌[N. Tsubouchi et al., "Beam Characterization of Mass-Separated, Low-Energy Positive and Negative Ions Deposition Apparatus", IEEE Proceedings of the XIIth International Conference on Ion Implantation Technology, Kyoto, Japan, June 22-26, 1998, (1999) 350-353] 및 문헌[Junzo Ishikawa et al., "Negative-Ion Implantation Technique", Nuclear Instruments and Methods in Physics Research B 96 (1995) 7-12] 참조}. 음이온 주입의 한 가지 매우 중요한 이점은, CMOS 제조에서 VLSI 디바이스의 이온 주입에 의해 유도된 표면 하전(ion implantation-induced surface charging)을 감소시키는 것이다. 일반적으로, 양이온의 고 전류(약 1㎃ 또는 초과)의 주입은 게이트 산화물(gate oxide)과, 게이트 산화물 손상 임계값을 용이하게 초과할 수 있는 반도체 디바이스의 다른 성분에 양 전위(positive potential)를 생성한다. 양이온이 반도체 디바이스의 표면과 충돌하면, 이는 순 양전하(net positive charge)를 침착시킬 뿐만 아니라, 이와 동시에 2차 전자를 방출하여 하전 효과를 배가시킨다. 따라서, 이온 주입 시스템의 장비 판매업자는 주입 과정 동안 양으로 하전된 이온빔과, 디바이스 웨이퍼(wafer)의 표면에 저 에너지 전자를 도입하기 위해, 전자 플러드 건(electron flood gun)이라 불리는 정교한 전하 조절 디바이스를 개발하였다. 이러한 전자 플러드 시스템(electron flood system)은 제조 공정에 추가 변수를 도입하고, 표면 하전으로 인해 수율 손실(yield loss)을 완전히 제거하지 못한다. 반도체 디바이스가 점점 더 작아짐에 따라, 트랜지스터 작동 전압과 게이트 산화물 두께는 또한 작아져서, 반도체 디바이스 제조에서 손상 임계값을 감소시키고, 추가로 수율을 감소시킨다. 따라서, 음이온 주입은 많은 전단 공정(leading-edge process)을 위해 종래의 양이온 주입에 비해 수율의 실질적인 향상을 잠재적으로 제공한다. 불행히도, 이러한 기술은 여전히 시판되지 않고, 실제 음이온 주입은 발명자가 아는 바로는 심지어 연구 및 개발에서도 집적 회로를 제조하기 위해 사용되지 않았다.
선행 기술의 음이온 소스는 소위 음성 친화도 스퍼터 타깃(negative affinity sputter target)에 의존하였다. 크세논과 같은 불활성 중기체(heavy inert gas)는 Xe+ 이온을 생성하는 플라즈마 소스로 공급된다. 일단 생성되면, Xe+ 이온은 세슘 증기 또는 기타 적합한 알칼리성 물질로 코팅된 음으로 바이어싱된 스퍼터 타깃(negatively-biased sputter target)으로 당겨진다. 활성 Xe+ 이온은 중성 타깃 원자를 스퍼터링하고, 이중 일부는 전자를 받아들이지만 세슘 코팅의 음 전자 친화도로 인해 타깃 표면을 떠난다. 일단 음으로 하전되면, 타깃 이온은 타깃으로부터 반발하고, 정전기 이온 광학에 의해 이온 소스로부터 모여서, 음이온 빔으로 집중될 수 있다. 이러한 방법으로 붕소와 같은 반도체 도핑제 이온을 생성할 수 있지만, 이온 전류는 낮고, 빔 방출도(beam emittance)는 큰 경향이 있으며, 알칼리 금속이 실리콘 공정에 매우 심각한 오염물로 간주되기 때문에, 세슘 증기의 존재는 웨이퍼 수율에 거의 받아들여질 수 없는 위험을 제공한다. 따라서, 더욱 상업적으로 실행 가능한 음이온 소스 기술이 필요하다.
반도체 제조 공정에서는, 반도체 기판 내에 P-N 접합부(N-P junction)를 형성하는 것이 특히 중요하다. 이는, N-형과 P-형 도핑의 인접 영역의 형성을 요구한다. 접합부의 형성의 한 가지 일반적인 예는, 균일한 분포의 P-형 도핑제를 이미 포함하는 반도체 영역으로 N-형 도핑제를 주입하는 것이다. 이 같은 경우에, 중요한 파라미터는, N-형 및 P-형 도핑제가 동일한 농도를 갖는 반도체 표면으로부터의 깊이로 정의되는 접합부 깊이이다. 이러한 접합부 깊이는 주로 주입된 도핑제 질량, 에너지 및 투여량에 의존한다.
현대 반도체 기술의 중요한 양상은 더 작고 더 빠른 디바이스로의 계속적인 진화이다. 이러한 공정을 스케일링(scaling)이라 부른다. 스케일링은 리쏘그래픽 공정(lithographic process)에 대한 개선의 계속적인 발전을 통해 진행되어, 집적 회로를 포함한 반도체 기판에서 더욱 더 작은 특징의 한정을 허용한다. 일반적으로 받아들여지는 스케일링 이론은, 동시에, 즉 각각의 기술 또는 스케일링 노드(scaling node)에서, 반도체 디바이스 설계의 모든 양상의 적합한 리사이즈(resize)에서 칩 제조업자를 안내하도록 개발되었다. 이온 주입 공정에 대한 스케일링의 가장 큰 효과는 디바이스 치수가 감소함에 따라 점점 얕은 접합부(shallow junction)를 요구하는 접합 깊이의 스케일링이다. 집적 회로 기술 스케일로 점점 얕은 접합부에 대한 요건은 하기 요건으로 다시 표현된다. 이온 주입 에너지는 각각의 스케일링 단계를 이용해서 감소되어야 한다. 최근, 많은 임계 주입(critical implant)에 요구되는 이온 에너지는, 훨씬 더 큰 에너지 빔을 생성하기 위해 원래 개발된 종래의 이온 주입 시스템이 필요 주입을 제공하는데 효과적이지 않은 지점까지 감소되었다. 이러한 극도로 얕은 접합부를 "극단으로 얕은 접합부(Ultra-Shallow Junction)" 또는 USJ라 부른다.
낮은 빔 에너지에서 종래의 이온 주입 시스템의 제한은 이온 소스로부터 이온의 추출, 및 주입기의 빔 라인(beam line)을 통한 이들의 후속적인 운반에서 가장 명백하다. 이온 추출은, 추출된 빔 전류 밀도가 3/2 제곱(power)까지 증가된 추출 전압(즉, 추출시의 빔 에너지)에 비례한다고 한 칠드-랑뮈어 식(Child-Langmuir relation)에 의해 결정된다. 도 1은 최대 추출된 비소 빔 전류 대 추출 전압을 나타낸 그래프이다. 간략함을 위해, 75As+ 이온만이 추출된 빔에 존재하는 것으로 가정되었다. 도 1은 에너지가 감소됨에 따라 추출 전류가 신속하게 떨어지는 것을 보여준다. 종래의 이온 주입기에서, "추출-제한" 작업의 이러한 영역은 약 10keV 미만의 에너지에서 나타난다. 유사한 제한이 저 에너지 빔 운반시 발생한다. 보다 낮은 에너지 빔은 보다 느린 속도로 이동하고, 따라서 빔 전류의 주어진 값에 있어서 이온이 보다 가까워진다. 즉, 이온 밀도가 증가한다. 이는 식 J = nev [여기서, J는 이온빔 전류 밀도(단위: ㎃/㎠), n은 이온 밀도(단위: ㎝-3), e는 전기 전하{=6.02 ×10-19쿨롱(coulomb)}, v는 평균 이온 속도(단위: ㎝/초)이다]로부터 알 수 있다. 이온 사이의 정전기력은 이들 사이의 거리의 제곱에 반비례하기 때문에, 이러한 상호 반발력은 낮은 에너지에서 훨씬 강하고, 따라서, 이온 빔을 분산시킨다. 이러한 현상을 "빔 블로우업(beam blow-up)"이라 부른다. 주입기의 빔 라인에 존재하는 저 에너지 전자가 양으로 하전된 이온 빔에 의해 포획(trapping)되고, 운반 중 공간-전하 확산을 상쇄하는데 도움이 되지만, 블로우업은 여전히 발생하고, 느슨하게 결합되고, 매우 이동성이 큰 보상 전자를 빔으로부터 떼어내는 경향이 있는 정전기 초점 렌즈의 존재시 가장 두드러진다. 주어진 이온 에너지에서 이온 속도는 보다 가벼운 원자에 대해 보다 느리기 때문에 저 에너지 빔 운반은 비소(75amu)와 같이 무거운 원자에 대해서는 어려울 수 있다. P-형 도핑제인 붕소에 대해서도 심각한 추출 및 운반의 어려움이 존재한다. 붕소 운반은, 특정한 전단 공정에 의해 요구되는 매우 낮은 주입 에너지(예를 들어, 1keV 미만)와, 전형적인 BF3 소스 플라즈마로부터 추출되고 운반된 대부분의 이온이 원하는 이온 11B+가 아니라, 추출된 이온 빔의 전하 밀도 및 평균 질량을 증가시키도록 작용하는 19F+49BF2 +와 같은 이온 단편이라는 사실에 의해 어렵게 된다. VLSI 반도체 제조의 미래를 살펴보면, 저 에너지 As와 B의 상당한 전류를 운반하는데 있어서 이들 어려움이 결합하여 USJ의 형성을 매우 도전할만한 일로 만든다.
상술한 칠드-랑뮈어 식으로부터 이익을 얻는 한 가지 방법은, 예를 들어 도 1a에서 도시된 바와 같이, 도핑제 원자가 아닌 중요 도핑제를 포함하는 분자를 이온화시켜 이온의 질량을 증가시키는 것이다. 이러한 방식에서, 분자의 운동 에너지는 운반 중에 더 크고, 기판에 들어가면 분자는 그 구성 원소로 분해되어, 질량 분포에 따라 개개의 원자 사이에서 분자의 에너지를 공유하여, 도핑제 원자의 주입 에너지는 초기 운반 운동 에너지보다 훨씬 더 낮다. ("Y"가 디바이스-형성 공정에 영향을 미치는지에 관한 문제는 논증을 위해 무시) 라디칼 "Y"에 도핑제 원자 "X"가 결합된 것으로 고려한다. 이온 XY+가 X+ 대신에 주입되는 경우, XY+는 추출되고, {(XY의 질량)/(X의 질량)}배만큼 증가된 보다 높은 에너지에서 운반되어야 하고, 이는 X의 속도가 변하지 않는 것을 입증한다. 상술한 칠드-랑뮈어 식에 의해 기술된 공간-전하 효과가 이온 에너지에 대해 초선형(superlinear)이기 때문에, 최대 운반 가능한 이온 전류는 증가된다. 역사적으로, 다원자성 분자(polyatomic molecule)를 이용하여 저 에너지 주입의 문제를 다루는 것은 당해 기술 분야에 공지되어 있다. 일반적인 예는, B+ 대신 저 에너지 붕소의 주입을 위해, BF2 + 분자 이온을 사용하는 것이다. 이러한 공정은, BF3 공급 기체를 주입용 BF2 + 이온으로 해리시킨다. 이러한 방법으로, 이온 질량은 49AMU로 증가되어, 단일 붕소 원자를 사용하는 것에 비해 거의 5배만큼(즉, 49/11) 추출 및 운반 에너지를 증가시킨다. 그러나, 주입시, 붕소 에너지는 (49/11)의 동일한 정도로 감소된다. 본 발명자는, 빔에서 단위 전하당 붕소 원자 하나만 존재하기 때문에, 이러한 접근법이 빔에서 전류 밀도를 감소시키지 않는다는 것을 주목해야 한다. 부가적으로, 이러한 접근법은 또한 붕소와 불소 원자를 반도체 기판에 주입하지만, 불소는 반도체 디바이스에 역효과를 나타내는 것으로 공지되어 있다.
문헌 [Jacobson et al., "Decaborane, an alternative approach to ultra low energy ion implantation", IEEE Proceedings of the XIIIth International Conference on Ion Implantation Technology, Alpsbach, Austria, pp. 300-303 (2000)] 및 문헌[Yamada, "Applications of gas cluster ion beams for materials processing", Materials Science and Engineering A217/218, pp. 82-88 (1996)]에 보고된 바와 같이, 이온 주입을 위한 다원자성 분자로 데카보란을 사용하는 분자 이온 연구가 있었다. 이러한 경우에, 주입된 입자는 데카보란 분자 B10H14의 이온으로, 이는 10개의 붕소 원자를 포함하고, 따라서 붕소 원자의 "클러스터"이다. 이러한 기술은 이온의 질량을 증가시킬 뿐만 아니라, 주어진 이온 전류에 대해 주입된 투여 속도를 실질적으로 증가시키는데, 데카보란 이온 B10Hx +이 단위 전하당 10개의 붕소 원자를 갖기 때문이다. 이는, 실리콘에서 USJ P-형 산화금속 반도체(PMOS) 트랜지스터의 형성에 있어서, 일반적으로는 매우 낮은 에너지 붕소를 주입하는데 있어서, 매우 유망한 기술이다. 이온 빔이 갖고 있는 전기 전류를 크게 감소시키는 것(데카보란 이온의 경우에 10배)은 빔 공간-전하 효과를 감소시킬 뿐만 아니라, 웨이퍼 하전 효과도 감소시킨다. 양이온 빔 충격(bombardment)에 의한 웨이퍼, 특히 게이트 산화물의 하전은 민감성 게이트 절연(sensitive gate isolation)을 손상시켜 디바이스 수율을 감소시키는 것을 공지되어 있기 때문에, 클러스터 이온 빔의 사용을 통한 전기 전류의 이 같은 감소는 점진적으로 매우 낮은 게이트 임계값 전압을 수용해야만 하는 USJ 디바이스 제조에 있어서 매우 매력적이다. P-형 분자 주입의 이들 2개의 예에서 이온은 공급 물질을 클러스터로 결합시키는(conglomeration) 것보다 공급 물질의 단순한 이온화에 의해 생성된다는 것을 주목해야 한다. 또한, 지금까지 N-형 분자 도핑제 이온을 생성하기 위해 개발된 유사한 기술이 없었다는 것을 주목해야 한다. 상보적인 금속 산화막 반도체(CMOS) 공정의 앞으로의 성공은 실행 가능한 N-형과 P-형의 다원자성 주입 기술의 상업화에 의존할 수 있다. 따라서, 현재 반도체 제조 산업에서 직면한 2개의 서로 다른 문제, 즉 웨이퍼 하전과 저 에너지 이온 주입에서의 낮은 생산성을 해결할 필요가 있다.
이온 주입기는 역사적으로 3개의 기본 유형, 즉 높은 전류, 중간 전류 및 고에너지 주입기로 분류되어 있다. 클러스터 빔은 높은 전류 및 중간 전류 주입 공정에 유용하다. 더욱 구체적으로, 현재의 높은 전류 주입기는 드레인 구조(drain structure)와 같은 트랜지스터의 저 에너지, 높은 투여 영역과 폴리실리콘 게이트의 도핑을 형성하기 위해 주로 사용된다. 이들은 전형적으로 배치 주입기로, 즉 이온 빔이 정지한 상태로 유지되는 동안 스피닝 디스크(spinning disk) 상에 장착된 많은 웨이퍼를 가공하는 배치 주입기이다. 높은 전류 빔 라인은 단순하고, 이온 빔의 큰 허용(large acceptance)을 결합하고, 저 에너지 및 높은 전류에서 기판의 빔은 큰 각 분산으로 커지는 경향이 있다. 중간-전류 주입기는 전형적으로 높은 경사 능력 (예를 들어, 기판 법선으로부터 최대 60도)을 제공하는 직렬 (한 번에 하나의 웨이퍼) 공정 챔버를 결합시킨다. 이온 빔은 전형적으로 수직 방향으로 웨이퍼를 가로질러 전자기적으로 스캐닝(scanning)되어, 투여량 균일성을 보장한다. 전형적으로 단지 수 퍼센트 변화의 시판용 주입물 투여 균일성과 재현성 요건을 충족시키기 위해, 이온 빔은 우수한 각과 공간 균일성 (예를 들어, 웨이퍼에 대한 빔의 2도 미만의 각 균일성)을 가져야 한다. 이들 요건으로 인해, 중간-전류 빔 라인은 제한된 허용 가능성의 대가로 우수한 빔 제어를 제공하기 위해 엔지니어링(engineering)된다. 즉, 주입기를 통한 이온의 투과 효율은 이온 빔의 방출도(emittance)에 의해 제한된다. 현재, 낮은 에너지에서 (10keV 미만) 보다 높은 전류 (약 1㎃) 이온 빔의 생성은 직렬 주입기에서 문제가 되어, 웨이퍼 처리능력(wafer throughput)은 보다 낮은 특정 에너지 주입에 대해 (예를 들어, 전단 에지 CMOS 공정에서 소스 및 드레인 구조의 생성에서) 허용되지 않을 정도로 낮다. 이온당 5keV 미만의 낮은 빔 에너지에서 (스피닝 디스크상에 장착된 많은 웨이퍼를 가공하는) 배치 주입기에 대해 유사한 운반 문제가 또한 존재한다.
수차(aberration)가 거의 없는 빔 운반 광학 장치를 설계하는 것이 가능하지만, 이온 빔 특징(공간 크기, 공간 균일성, 각 분산 및 각 균일성)은 자체의 이온 소스의 방출도 특성(즉, 주입기 광학 장치가 이온 소스로부터 방출된 빔의 초점을 맞추고 조절할 수 있는 정도를 결정하는 이온 추출에서의 빔 특성)에 의해 주로 결정된다. 단량체 빔 대신에 클러스터 빔을 사용하면, 빔 운반 에너지를 증가시키고 빔에 의해 운반된 전기 전류를 감소시켜 이온 빔의 방출도가 크게 증가될 수 있다. 따라서, 더 잘 초점이 맞고 더욱 조준(collimation)되며 더욱 엄격하게 제어된 이온 빔을 타깃에 제공하고, 또한 보다 높은 유효 투여 속도 및 보다 높은 처리 능력을 제공하기 위해 반도체 제조에서 클러스터 이온 및 클러스터 이온 소스 기술에 대한 요구가 존재한다.
본 발명의 목적은, 반도체 기판에 N-형 전도도의 극히 얕은 불순물 도핑 영역{즉, 억셉터(acceptor)}을 형성할 수 있는 반도체 디바이스 제조 방법을 제공하고, 또한 높은 생산성으로 이렇게 수행하는 것이다.
본 발명의 다른 목적은, 데카보란(B10H14)의 음으로 하전된 이온이 B10Hx -로 생성되고, 반도체 기판에 주입되어 p-n 접합부를 형성하는 이온 주입 시스템과 방법을 제공하는 것이다.
본 발명의 다른 목적은, N-형 클러스터에 대해서 형태 AsnHx + (여기서, n은 3 또는 4이고, 0 ≤x ≤n+2)이고, P-형 클러스터에 대해서는 형태 B10Hx + 또는 B10Hx -의 N-형과 P-형 클러스터를 사용해서 N-형 또는 P-형의 극히 얕은 불순물 도핑 영역{즉, 억셉터 또는 도너(donor)}을 형성할 수 있는 반도체 디바이스 제조 방법을 제공하는 것이다.
본 발명의 추가 목적은, 반도체 기판에 N 전도도 유형의 극히 얕은 주입 영역을 형성할 수 있는 형태 As3Hx +과 As4Hx +의 비소 클러스터 이온을 주입하는 방법을 제공하는 것이다.
본 발명의 추가 목적은, PH3 공급 기체를 이온화해서 형태 PnHx + (여기서, n은 2, 3 또는 4이고, x는 0 ≤x ≤6의 범위)의 인 클러스터 이온(phosphorus cluster ion)을 제조한 다음, 반도체 기판에 상기 인 클러스터를 주입해서 N-형 도핑을 달성하는 방법을 제공하는 것이다.
본 발명의 추가 목적은, B2H6 공급 기체를 이온화해서 형태 BnHx + (여기서, n은 2, 3 또는 4이고, x는 0 ≤x ≤6의 범위)의 붕소 클러스터 이온(boron cluster ion)을 제조한 다음, 반도체 기판에 상기 붕소 클러스터를 주입해서 P-형 도핑을 달성하는 방법을 제공하는 것이다.
본 발명의 또 다른 목적은, 클러스터 이온을 이용하여 반도체 기판에서 N 또는 P 전도도 유형의 극히 얕은 불순물 도핑 영역을 형성하도록 설계된, 반도체 기판을 제조하는 이온 주입 시스템을 제공하는 것이다.
본 발명의 한 양상에 따라, 도핑제 원자 또는 분자의 공급물을 이온화 챔버에 제공하는 단계와; 도핑제 원자 또는 분자를 복수의 도핑제 원자를 포함하는 클러스터에 결합하고 도핑제 클러스터를 도핑제 클러스터 이온으로 이온화하는 단계와; 전기장으로 도핑제 클러스터 이온을 추출하고 가속화하는 단계와; 이온 빔을 질량 분석하는 단계와; 도핑제 클러스터 이온을 반도체 기판에 주입하는 단계를 포함하는, 클러스터 이온을 주입하는 방법이 제공된다.
본 발명의 목적은, 반도체 디바이스 제조업자가 단일 원자를 한 번에 주입하기보다 n개의 도핑제 원자(As4Hx +의 경우 n=4)의 클러스터를 주입해서 저 에너지 이온 빔을 추출할 때의 난점을 완화시키는 방법을 제공하는 것이다. 클러스터 이온 주입 접근법은, 클러스터의 각 원자가 E/n의 에너지로 주입되기 때문에 상당하는, 저 에너지, 단원자 주입물을 제공한다. 따라서, 주입기는, USJ 형성에 의해 요구되는 특히 낮은 주입 에너지에서 보다 높은 이온 빔 전류를 허용하는 요구되는 주입 에너지보다 n배 높은 추출 전압에서 작동한다. 이온 추출 단계를 고려하면, 클러스터 이온 주입물에 의해 허용되는 상대적인 개선은 칠드-랑뮈어 범위를 측정함으로써 정량화될 수 있다. 이러한 범위는 하기 방정식으로 근사화될 수 있는 것으로 인식된다:
(1) Jmax = 1.72(Q/A)1/2V3/2d-2
상기 식에서, Jmax는 ㎃/㎠의 단위이고, Q는 이온 전하 상태이고, A는 이온 질량(단위: AMU)이고, V는 추출 전압(단위: kV)이고, d는 간극 너비(단위: ㎝)이다. 도 1은 d=1.27㎝인 75As+의 경우에 있어서 방정식 1의 그래프이다. 실질적으로, 많은 이온 주입기에 의해 사용된 추출 광학장치는 이러한 범위에 접근하도록 만들어질 수 있다. 방정식 1을 확대 해석하면, 하기 감도 지수(figure of merit) △는 단원자 주입에 대한 클러스터 이온 주입에 대해 처리능력, 또는 주입된 투여 속도의 증가를 정량화하기 위해 정의될 수 있다:
(2) △ = n(Un/U1)3/2(mn/m1)-1/2
상기 식에서, △는 에너지(U1)에서 질량(m1)의 원자의 단일 원자 주입물에 대해 에너지(Un)에서 중요한 도핑제의 n개의 원자를 갖는 클러스터를 주입해서 이루어진 투여 속도(원자/초)의 상대적인 증가이다 (여기서, Ui = eV이다). Un이 단원자 (n=1) 경우와 동일한 도핑제 주입 깊이를 제공하도록 조절되는 경우, 방정식 2는 하기 방정식 3으로 축소된다:
(3) △ = n2
따라서, n개의 도핑제 원자의 클러스터의 주입은 단일 원자의 종래의 주입보다 높은 투여 속도(n2)를 제공하는 전위를 갖는다. As4Hx의 경우에, x에 대해 최대 투여 속도 증가는 약 16배이다. 저 에너지 As와 As4 주입의 비교는 이러한 점을 예시하기 위해 도 2에 도시되어 있다.
이온 주입을 위해 클러스터를 이용하는 것은 또한 저 에너지 이온 빔의 운반을 다룬다. 클러스터 이온 주입 공정은, 종래의 경우와 같이, 하나의 전기 전하를 갖는 모든 도핑제 원자를 갖기보다 클러스터당 하나의 전기 전하만을 요구한다는 것을 주목해야 한다. 따라서, 전하 밀도의 감소와 함께 분산성 쿨롱 힘이 감소하기 때문에 운반 효율(빔 전도도)이 개선된다. 또한, 클러스터는 그 단량체보다 더 큰 질량을 갖고, 따라서 빔내(intra-beam) 쿨롱 힘에 의해 영향을 덜 받는다. 따라서, 단일 원자가 아닌 n개의 도핑제 원자의 클러스터로 주입하는 것은 저 에너지 이온 주입에서 기본적인 운반 문제를 완화시키고, 훨씬 더 생산적인 공정을 허용한다.
이러한 방법의 허용은 상기 클러스터 이온의 형성을 요구한다. 시판용 이온 주입기에 사용된 종래의 소스는 단량체의 생산에 비해 주로 낮은 차수(lower-order)(예를 들어, n=2)의 매우 작은 부분만을 생산하고, 이 결과 이들 주입기는 앞에서 나열된 저 에너지 빔 주입 이점을 효과적으로 실현하기 못한다. 실제, 많은 종래의 이온 소스에 의해 제공되는 강한 플라즈마는 오히려 분자 및 클러스터를 이들의 구성 성분으로 분리시킨다. 본원 발명에서 기술된 신규한 이온 소스는 "연성(soft)" 이온화 공정, 즉 활동적인 일차 전자에 의한 전자 충돌 이온화의 사용으로 인해 클러스터 이온을 풍부하게 생산한다. 본 발명의 이온 소스는 도핑제 클러스터 이온을 생산하고 보존하기 위해 특별히 설계된다.
본 발명의 이들 및 기타 이점은 하기 명세서 및 첨부된 도면을 참고하여 더욱 용이하게 이해될 것이다.
도 1은 칠드-랑뮈어 법칙에 따른 최대 75As+ 빔 전류 대 추출 에너지를 나타낸 그래프.
도 1a는 사량체 비소 및 단량체 비소를 통해 달성 가능한 최대 추출 전류의 비교를 나타낸 그래프.
도 2는 본 발명에 따른 클러스터 이온 소스의 단순화된 도면.
도 2a는 본 발명에 따른 클러스터 이온 소스의 예시적인 실시예의 사시도.
도 2b는 전자 빔과, 이 위에 중첩 도시된 자기장이 절단 도시된, 도 2a에 도시된 이온 소스의 일부분의 단면도.
도 2c는 본 발명에 따른 자기장 및 전자 빔을 예시한 절단 도시된 이온 소스의 일부의 사시도.
도 2d는 본 발명에 따른 이온 소스의 전자 빔 형성 영역의 단순화된 평면도.
도 2e는 본 발명과 함께 사용될 수 있는 온도 제어 시스템의 구성도.
도 3은 본 발명에 따른 예시적인 클러스터 이온 주입 시스템의 단순화된 도 면.
도 4a는 NMOS 드레인 확장부(drain extension)를 형성하는 동안 CMOS 제조 순서도.
도 4b는 PMOS 드레인 확장부를 형성하는 동안 CMOS 제조 순서도.
도 5는 N-형 드레인 확장 주입의 단계에서 NMOS 반도체 디바이스를 제조하는 공정에서 반도체 기판의 도면.
도 5a는 소스/드레인 주입 단계에서 NMOS 반도체 디바이스를 제조하는 공정에서 반도체 기판의 도면.
도 5b는 P-형 드레인 확장 주입의 단계에서 PMOS 반도체 디바이스를 제조하는 공정에서 반도체 기판의 도면.
도 5c는 공급물/드레인 주입 단계에서 PMOS 반도체 디바이스를 제조하는 공정에서 반도체 기판의 도면.
도 6은 본 발명의 이온 공급물에 의해 생성된 PH3의 질량 스펙트럼의 그래프.
도 7은 본 발명의 이온 소스에 의해 생성된 AsH3의 질량 스펙트럼의 그래프.
도 8은 저 에너지 범위에서 온-웨이퍼 As4Hx + 이온 전류를 증명하는 그래프.
도 9는 빔 휘도의 단위로 전환된 도 6에 도시된 데이터를 나타낸 그래프.
도 10은 본 발명을 이용하여 실리콘 웨이퍼로 주입된 AsHx + 및 As4Hx + 이온 빔으로부터 비소 농도의 주입된 SIMS 프로파일을 예시하고 TRIM 계산과 비교한 그래프.
도 11은 본 발명의 이온 소스에 의해 생성된 B2H6의 질량 스펙트럼을 나타낸 그래프.
도 12는 데카보란 공급 물질로 작동되는 본 발명을 위해 기록된 양이온 질량 스펙트럼을 나타낸 그래프.
도 13은 데카보란 공급 물질로 작동되는 본 발명을 위해 기록된 음이온 질량 스펙트럼을 나타낸 그래프.
도 14는 연속적으로 얻어진 음이온 및 양이온 데카보란 둘 모두의 기록된 질량 스펙트럼, 및 이량체 B20Hx를 나타낸 기록된 질량 스펙트럼을 나타낸 그래프.
도 15는 20keV의 데카보란 주입 에너지에서 본 발명을 이용하여 음과 양 B10Hx 이온의 주입된 SIMS 프로파일을 나타낸 그래프.
도 16은 B 농도 및 H 농도를 나타낸, 실리콘으로 주입된 20keV의 데카보란의 주입된 SIMS 프로파일을 나타낸 그래프.
도 17은 암모니아(NH3)의 전자 에너지(T)의 함수로서 이온화 횡단면(σ)을 나타낸 그래프.
도 18은 본 발명의 이온 소스에 의해 생산된 양성 데카보란 이온의 질량 스펙트럼.
도 19는 본 발명의 이온 소스에 의해 생산된 음성 데카보란 이온의 질량 스 펙트럼.
본 발명의 다중 실시예가 제공된다. 이들 실시예는 다양한 N-형 및 P-형 도핑제 클러스터 이온 뿐만 아니라, 음으로 하전된 클러스터 이온 빔의 제조에 관한 것이다. N-형과 P-형 도핑제 클러스터 이온 뿐만 아니라, 음으로 하전된 클러스터 이온 빔은 도 2 내지 도 2e에서 도시된 이온 소스를 이용하여 생성될 수 있다.
도 2 내지 도 2e는 클러스터 이온 소스(10) 및 그 여러 구성요소의 개념도를 나타낸다. 우선, 도 2를 참고하면, AsH3, PH3, B2H6 또는 기화된 B10H14의 실린더와 같은 공급 기체(11)의 공급물이 제공된다. 공급 물질은 실온에서 기체로 실린더에 저장될 수 있거나, 가열 고체로부터 승화되거나 액상으로부터 증발된 증기로 도입될 수 있다. 공급 기체 공급물(11)은 유동 제어기(12)를 통해 이온화 챔버(13)에 연결된다. 유동 제어기(12)는 컴퓨터 제어 질량 유동 제어기와 같이 정교할 수 있거나, 소정의 기체 전도성을 갖는 연결관과 같이 간단할 수 있다. 후자의 경우, 유동은 공급 기체 공급물(11)에서 기체의 압력을 조절해서 변경된다. 도핑제 함유 기체 공급 물질의 제어된 유동은, 이온화 챔버(3) 안에서 예를 들어 약 3 ×10-4 Torr 내지 3 ×10-3 Torr의 안정한 기압을 생성한다. 이온화 에너지(14)는 제한된 에너지 또는 속도를 갖는 조절된 전자 전류(controlled current of electron) 형태로 제공된다. 이온화 챔버(13)와 실제 모든 이온 소스의 구성요소의 온도는 전형적으로 원하는 값으로 제어된다. 소스 압력, 온도, 전자 전류 및 전자 에너지를 조절해서, 예를 들어 AsH3의 도핑제 원자 또는 분자가 결합하여 원하는 도핑제 성분 중 하나 이상의 원자를 포함하는 클러스터 이온, 예를 들어 사량체 화합물 As4Hx + (여기서, x는 0 내지 4의 정수)를 형성하도록 하는 환경이 이온화 챔버(13) 내에 생성된다.
이온화 챔버(13)의 개구(17)는 이온이 빔 경로(beam path)로 새어나가도록 하며, 이는 이온화 챔버(13)와 추출 전극(15) 사이의 강한 전기장에 의해 추출된다. 이러한 추출 필드, 또는 가속화 필드는 고 전압 전원에 의해 생성되고, 이러한 전원은 접지 전위(ground potential)에 대해 이온화 챔버(13)를 전압(V)으로 편향시키고, 추출 전극(15)은 접지 전위에 근접한다. 가속화 필드는 이온화 챔버(13) 밖으로 양이온을 끌어당기기 위해 순방향으로 확립되고, 음이온이 필요한 경우에는 반대 방향으로 확립된다. 가속화된 이온은 추출 전극(15)에 의해 이온 빔(16)으로 형성된다. 이온 빔(16)의 운동 에너지(E)는 하기 방정식 4로 주어진다:
(4) E = /q V/
상기 식에서, V는 소스 전위이고, q는 이온당 전기 전하이다. V가 볼트로 표시되고 q가 전자 전하의 단위로 표시되는 경우, E는 전자-볼트(eV)의 단위를 갖는다.
본 발명에 따른 이온 주입 시스템의 일부를 형성하는 이온 소스는 전자 충돌 이온화 소스이다. 도 2a는 이온 소스(10)를 구성하는 구성요소의 구조와 기능을 예시한 본 발명에 따른 이온 소스의 개략적인 단면도이다. 단면은 이온 빔의 전달 방향을 포함하는 평면을 따라 절단되어, 이온 소스를 절반으로 분리하였다. 이온 소스(10)는 기화기(28), 및 마운팅 플랜지(mounting flange)(36)에서 결합된 빔 형성 영역(12)을 포함한다. 이온 소스(10)는 마운팅 플랜지(36)에 의해 이온 주입기 또는 기타 공정 기구의 진공 챔버와 접하도록 제조된다. 따라서, 도 2a에서 플랜지(36)의 우측에 대한 이온 소스(10)의 부분은 고 진공 (1 ×10-4Torr보다 작은 압력) 하에 있다. 기체 물질은 이온화 챔버(44)로 도입되고, 이 챔버에서 기체 분자는 하나 이상의 전극 빔(70a 및 70b)으로부터 전자 충돌에 의해 이온화되고, 이는 한 쌍의 서로 마주하는 전자 빔 유입 개구(71a 및 71b)를 통해 이온화 챔버(44)로 들어온다. 이 같은 구성으로, 이온은 추출 개구 플레이트(80)의 이온 추출 개구(81)에 인접해서 생성된다. 이들 이온은 이온 추출 개구 플레이트(80)의 전면에 위치한 추출 전극(미도시)에 의해 활성 이온 빔으로 추출 및 형성된다.
여러 기화기(28)는 본 발명에 사용하기에 적합하다. 예시적인 기화기(28)는 도 2a에 도시되어 있다. 기화기(28)는 예시적이고, 기화기 본체(30), 및 고체 소스 공급 물질(29), 예를 들어 데카보란 B10H14를 운반하는 도가니(31)로부터 형성될 수 있다. 저항 히터는 기화기 본체(30) 삽입될 수 있다. 물 냉각 채널(26) 및 대류 기체 냉각 채널(27)은 기화기 본체(30)와 밀접하게 접촉하도록 구성되고, 도가니(31)에 실온보다 높은 균일한 작동 온도를 제공하기 위해 사용될 수 있다. 도가니(31)와 온도 제어 기화기 본체(30) 사이의 열 전도는 기체 공급물(41)에 의해 도가니-기화기 본체 접촉면(34)으로 도입된 가압 기체에 의해 제공될 수 있지만, 기화기 본체(31)의 온도는 열전기쌍(thermocouple)을 통해 모니터링(monitoring)된다. 기화된 데카보란 B10H14 또는 기타 기화된 물질(50)은 도가니 밸러스트 부피(crucible ballast volume)(51)에 모이고, 기화기 출구 구멍(exit bore)(39)을 통과하고, 차단 밸브(100 및 110)의 쌍을 통과하며, 소스 블록(35)에 포함된 증기 도관(32)을 통과하고, 기화기 유입 개구(33)를 통해 이온화 챔버(33)로 들어간다. 차단 밸브(100 및 110), 마운팅 플랜지(36) 및 소스 블록(35)은 또한 증기의 응결을 방지하기 위해 기화기 온도 이상의 온도까지 온도 제어될 수 있다.
이온 소스 기체 전달 시스템은 2개의 개별 소스로부터 이온화 챔버(44)를 공급하는 2개의 도관(導管)을 포함할 수 있다. 제 1 소스는 기체 실린더(도시하지 않음)와 같이 가압 기체 소스로부터 기체 물질을 공급하는 작은 직경의 저 전도도 경로일 수 있다. 제 2 소스는 고체 물질을 증발시키는 저온 기화기로부터의 고 전도도 경로일 수 있다. 소스와 관계 없이, 기체 전달 시스템은 이온화 챔버(44)에서, 예를 들어 수 밀리토르의 기압을 유지한다. 기화기(28)는 이온화 챔버 안으로 기체의 안정한 유동을 유지하고, 이어 상기 챔버 내에서 안정한 압력을 유지하기 위해 고체 물질과 접한 그 표면의 엄격한 온도 제어를 유지한다.
기화기(28)를 서비스하기 전에, 차단 밸브(110)는 이온 공급물 및 이온 주입기를 진공으로 유지하기 위해 잠길 수 있다. 차단 밸브(100)는 또한 증기(50)의 포함을 도가니(31) 내에 유지하기 위해 잠길 수 있다. 이어, 기화기(28)는 도가니(31)가 재충전되고 세정될 수 있는 화학 후드(chemical hood)로 안전하게 운반될 수 있다. 밸브(100)를 열기 전에, 밸브(100)의 본체와 밀착되어 있는 통기 밸브(vent vlave)(111)를 열어 도가니 부피를 대기압으로 한다. 서비스가 완료되면, 밸브(100)는 다시 잠길 수 있고, 기화기(28)는 밸브(100)를 밸브(110)에 부착시켜 이온 소스(10)에 장착되고, 이어 이러한 통기 밸브(111)는 러프닝 라인(roughing line)에 연결되어 도가니(31), 및 밸브(100)와 밸브(110) 사이의 불감 부피(dead volume)를 배출시킨다. 이어, 차단 밸브(110)는 원할 경우 이온 소스 및 이온 주입기의 진공 환경을 손상시키지 않으면서 개방될 수 있다.
기화기 조립체(30a)는 가열 및 냉각 본체(30)와 제거 가능한 도가니(31)에 의해 형성된다. 기화기(28)의 뒤의 단부 플레이트(미도시)를 제거해서 도가니(31)에 접근할 수 있다. 일단 도가니(31)가 기화기(28)로부터 제거되면, 도가니의 단부에 탄성중합 밀봉된 그 커버(34b)를 제거하고 고형물(29)를 차단하는 그레이트(grate, 34a)를 증가시켜 재충전될 수 있다. 재충전 후, 도가니(31)는 기화기 본체(30)에 삽입되고, 기화기 본체(30)의 전면에 있는 출구 구멍(39)에 진공 밀봉이 이루어져, 도가니-기화기 본체 접촉면(34) 내에 존재하는 열 운반 기체로부터 도가니 밸러스트 부피(51)를 차단시킨다. 상기 구멍(39)은 증발된 기체의 출구로 사용된다. 도가니(31)의 온도 균일성을 달성하기 위해 도가니(31)와 기화기 본체(30) 사이의 기계적 접합부(fit)는 밀폐되어 있다. 도가니(31)와 기화기 본체(30) 사이의 임의의 간극은 2개의 표면 사이의 열적 운반을 촉진하기 위해 기체로 충진될 수 있다. 열 운반 기체는 단부 플레이트 피팅(end plate fitting)(28a)을 통해 상기 간극으로 들어가고, 대기압이거나 대기압에 근접할 수 있다.
온도 제어는, 예를 들어, 기화기 본체(30)에 삽입될 수 있는 저항 요소의 비례-적분 미분(proportional-integral differential, PID) 폐-루프 제어(closed-loop control)를 이용하여 수행될 수 있다. 도 2e는 3개의 온도 구역, 즉 기화기 본체(30)를 위한 구역 1, 차단 밸브(100 및 110)를 위한 구역 2 및 소스 블록(35)을 위한 구역 3이 정의된 바람직한 실시예의 블록도를 나타낸다. 각 구역은 전용 제어기, 예를 들어 Omron E5CK 디지털 제어기를 가질 수 있다. 가장 단순한 경우에, 주변 실온 이상의 온도, 예를 들어 18 내지 200℃의 온도를 능동적으로 제어하기 위해 가열 요소만이 사용된다. 따라서, 저항 카트리지형 히터는 기화기 본체(30){히터(1)} 및 소스 블록(35){히터(3)}에 삽입될 수 있는 반면, 밸브(100 및 110)는 저항 요소가 전선 또는 호일 스트립인 실리콘 스트립 히터{히터(2)}로 감길 수 있다. 도 2e에서 TC1, TC2 및 TC3으로 표지(labeling)된 3개 열전기쌍은 3개의 구성요소{30, 35 및 100(110)} 각각에 삽입될 수 있고, 연속해서 3개의 전용 온도 제어기 각각에 의해 판독될 수 있다. 온도 제어기(1, 2 및 3)는 온도 설정치(temperature setpoint)(SP1, SP2 및 SP3)로 각각 사용자 프로그래밍된다. 한 실시예에서, 온도 설정치는 SP3 > SP2 > SP1이 되게 한다. 예를 들어, 기화기 온도가 30℃가 되도록 요구되는 경우, SP2는 50℃, SP3은 70℃일 수 있다. 제어기는 전형적으로 TC 되읽기(TC readback)가 설정치에 맞지 못하는 경우에 제어기의 비교기(comparator)가 원하는대로 냉각 또는 가열을 개시하도록 작동한다. 예를 들어, 가열만이 온도를 변경시키기 위해 사용되는 경우, TC1 < SP1이 아니면 비교기 출력은 0이다. 제어기는 온도차(SPl - TCl)의 비선형 함수로 출력의 참조용 표를 포함할 수 있고, 프로그래밍된 설정치 값으로 온도를 원활하게 조절하기 위해 조절기의 히터 전원에 적합한 신호를 공급할 수 있다. 히터 전력을 변경시키는 전형적인 수단은 전원의 펄스 너비 변조(pulse-width modulation)이고, 이러한 기술은 풀 스케일의 1% 내지 100%의 전력을 조절하기 위해 사용될 수 있다. 이 같은 PID 제어기는 전형적으로 0.2℃ 내로 온도 설정치를 유지할 수 있다.
기화기 본체 물질은 온도 균일성을 유지하기 위해 열적으로 크게 전도성이 있도록 선택될 수 있다. 작은 열 누출(leak)은 제어 시스템의 안정성을 향상시키고 기화기 본체(30)의 외부 표면에 위치한 공기 채널을 이용해서 설정 시간을 감소시키기 위해 기화기 본체(30)에 의도적으로 가해질 수 있다 (도 2a). 공기 채널(27)은 기화기 본체(30)를 둘러싸고 플레이트(도시하지 않음)에 의해 덮인다. 공기는 다기관 시스템(manifold system) 내의 채널로 운반될 수 있고, 이는 기화기 단부 플레이트(38)에 통합되어 보통의 연속 대류 냉각을 제공할 수 있다. 공기는 유도 제어를 위해 사용된 계측 밸브(metering valve)를 지나간 후에 입구를 통해 공급된다. 공기는 공기 조립체로부터 건물 배기관(house exhaust)으로 방출된다.
공기 냉각 이외에, 기화기 본체(30)를 액체 냉각시키는 설비가 또한 제공될 수 있다. 예를 들어, 냉각제는 기화기 본체(30) 전역에서 전후로 이동하는, 예를 들어 길이가 1m이고 직경이 6㎜인 구멍을 통해 전달될 수 있다. 본체 포트(body port)(26)에 장착된 피팅을 통해 연결될 수 있다. 액체 냉각은 필요시 신속한 서비스 소요시간(service turnaround)을 제공하기 위해 기화기 조립체의 신속한 냉각을 제공한다.
기체는, 예를 들어 가압 기체 실린더로부터 기체 도관(33)을 통해 이온화 챔버(44)로 공급될 수 있다. 고체 공급 물질은 기화기(28)에서 기화될 수 있고, 증기는 상술한 증기 도관(32)을 통해 이온화 챔버(44)로 공급될 수 있다. 구멍이 뚫린 분리 장벽(perforated separation barrier)(34a) 아래 위치한 고체 공급 물질(29)은 또한 상술한 바와 같이 기화기 본체(30)의 온도 제어에 의해 균일한 온도로 유지된다. 밸러스트 부피(31)에 축적되는 증기(50)는 구멍(39)과, 차단 밸브(shutoff valve, 100 및 110)를 통해 공급되고, 이는 다시 소스 블록(35)에 위치한 증기 도관(32)을 통해 이온화 챔버(44)로 공급된다. 따라서, 기체와 고체 도핑제 운반 물질은 이러한 이온 소스에 의해 이온화될 수 있다.
도 2b는, 본 발명에 따른 다중 전자 빔 이온 소스 구성의 기본 광학 설계를 도시한 측부 단면도이다. 본 발명의 한 실시예에서, 공간적으로 분리된 한 쌍의 전자 빔(70a 및 70b)은 공간적으로 분리된 한 쌍의 가열 필라멘트(110a 및 110b)로부터 방출되고, 빔 조향 장치(beam steerer) 또는 정적 자기장(B)(135a 및 135b)의 영향으로 인해 이온화 챔버(44)로 90도 궤적(도시된 바와 같이 종이 평면에 수직 방향)을 수행하여, 우선 한 쌍의 베이스 플레이트 개구(base plate aperture)(106a 및 106b)를 먼저 통과하고, 한 쌍의 이격된 베이스 플레이트(105a 및 105b)를 통과한 후, 한 쌍의 전자 유입 개구(71a 및 71b)를 통과한다. 이온화 챔버(44){즉, 전자 유입 개구(71a 및 71b) 모두를 통해}를 통과하는 전자는 빔 조향 장치 또는 정적 자기장(135a 및 135b)에 의해 한 쌍의 방출기 차폐물(emitter shield)(102a 및 102b)을 향해 휘어진다. 전자 빔(70a 및 70b)이 베이스 플레이트 개구(106a 및 106b)를 통해 전파됨에 따라, 이들은 베이스 플레이트(105a 및 105b)에 전압(Va){양(positive-going) 전원(115)에 의해 제공됨}을 가하고, 필라멘트에 전압(Ve){음(negative-going) 전원(116)에 의해 제공됨}을 가해서 이온화 챔버(44)에 들어가기 전 감속된다. 빔 형성 영역 및 운반 영역, 즉 이온화 챔버(44)의 외부에서 이온화를 위해 전형적으로 요구되는 것보다 상당히 큰 전자 빔 에너지를 유지하는 것이 중요하다. 이는, 저 에너지에서 빔 전류를 크게 감소시키고 전자 빔 직경을 확대시키는 공간 전하 효과 때문이다. 따라서, 이러한 영역에서 전자 빔 에너지를 약 1.5 내지 5keV로 유지하는 것이 요구된다.
전압은 전적으로 이온화 챔버(44)에 비례한다. 예를 들어, Ve = -0.5 kV이고 Va = 1.5 kV이면 전자 빔의 에너지는 e(Va-Ve)로 주어지고, 이 식에서, e는 전자 전하(6.02 ×10-19쿨롱)이다. 따라서, 이 예에서, 전자 빔(70a 또는 70b)은 2keV에서 형성되어 편향되지만, 전자 유입 개구(71a 및 71b)로 들어가는 경우에, 이는 오직 0.5keV의 에너지를 갖는다.
하기 표는 에너지를 갖는 전자 빔을 90도 굽히는데 필요한 자기장(B)의 근사치를 나타낸다.
본 발명에서 90도 편향을 이루기 위한 전자 에너지에 대한 자기장 강도의 의존도
전자 에너지(E) 자기장(B)
1,500eV 51G
2,000eV 59G
2,500eV 66G
도 2b에 도시된 다른 요소는 추출된 이온 빔(120), 소스 정전기 차폐(101) 및 한 쌍의 방출기 차폐(102a 및 102b)를 포함한다. 이들 방출기 차폐(102a 및 102b)는 전자기장을 차폐하고, 표류 전자(stray electron) 또는 이온 빔을 차폐하는 두 가지 목적을 수행한다. 예를 들어, 방출기 차폐(102a 및 102b)는 베이스 플레이트(105a 및 105b)와 소스 차폐(101) 사이의 전위차와 연관된 장으로부터 전자 빔(70a 및 70b)을 차폐하고, 또한 대향하는 전자 방출기로부터 표류 전자 빔을 위한 덤프(dump)로도 작용한다. 소스 차폐(101)는 베이스 플레이트(105a 및 105b)와 이온화 챔버(44) 사이의 전위차에 의해 생성된 장으로부터 이온 빔(120)을 차폐하고, 또한 이온 소스 요소와 충돌하는 표류 전자와 이온을 흡수하도록 작용한다. 이러한 이유 때문에, 방출기 차폐(102a 및 102b) 뿐만 아니라 소스 차폐(101) 모두는 몰리브덴 또는 흑연과 같은 내화 금속으로 구성된다. 대안적으로, 자기장(B)(135a 및 135b)으로부터 이온 빔(120)의 더욱 완전한 차폐는 자기 스테인리스강과 같은 강자성 물질의 소스 차폐(101)를 구성해서 달성될 수 있다.
도 2c는 기계의 세부사항을 도시하고, 도 2b의 내용이 도 2a의 이온 소스와 결합되는 방법을 분명하게 보여주는 절단 도면이다. 전자는 하나 이상의 필라멘트(110a 및 110b)로부터 열이온적으로 방출되고, 전자 빔(70a 및 70b)을 형성하는 한 쌍의 해당 애노드(140a 및 140b)로 가속화된다. 이 같은 구성은 여러 이점을 제공한다. 먼저, 필라멘트(110a 및 110b)는 개별적으로 또는 함께 작동할 수 있다. 두 번째로, 전자 빔은 이온화 챔버 외부에 생성되기 때문에 방출기 수명은 공지된 구성에 비해 늘어나는데, 이는 방출기가 이온 소스가 잔류하는 주입기 진공 하우징(implanter vacuum housing)의 저압 환경에 있고, 또한 방출기가 이온 충격으로부터 효과적으로 보호되기 때문이다.
한 쌍의 영구 자석(130a 및 130b)과 한 쌍의 자극 조립체(magnetic pole assembly, 125a 및 125b)의 자속(Magnetic flux)은 자극 조립체의 단부 사이의 공기 간극을 가로질러 균일한 자기장을 확립하기 위해 사용된 빔 조향 장치를 형성하고, 상기 전자 빔은 전파된다. 자기장(135a 및 135b)과 전자 빔(70a 및 70b)의 전자 빔 에너지는 전자 빔(70a 및 70b)이 90도로 편향되고 도시된 바와 같이 이온화 챔버(44)로 움직이도록 매칭된다. 예를 들어, 90도로 전자 빔(70a 및 70b)을 편향시켜, 방출기와, 이온을 포함하는 이온화 챔버 사이에 눈에 보이는 선(line of sight)이 존재하지 않아, 활성 하전 입자(energetic charged particle)에 의한 방출기(emitter)의 충돌을 방지한다.
Va는 이온화 챔버(44)에 비해 양성이므로, 전자 빔(70a 및 70b)이 베이스 플레이트 개구(106a 및 106b)와 전자 유입 개구(71a 및 71b)에 의해 한정된 간극을 통과함에 따라 이들은 감속된다. 따라서, 베이스 플레이트 개구(106a)와 전자 유입 개구(71a)의 조합과, 베이스 플레이트 개구(106b)와 전자 유입 개구(71b)의 조합과, 이들 사이의 간극은 각각 정전기 렌즈, 이 경우 감속화 렌즈를 형성한다. 감속화 렌즈의 사용은 실질적으로 전자 빔의 생성과 편향에 영향을 미치지 않으면서 전자 빔의 이온화 에너지가 조절되도록 한다.
각각의 베이스 플레이트(105a 및 105b)를 지지하고, 이온화 챔버 전위에 있는 소스 블록(35)으로부터 스탠드오프(stand off)로 작용하는 하나 이상의 세라믹 스페이서(ceramic spacer, 132a 및 132b)에 의해 간극이 확립될 수 있다. 세라믹 스페이서(132a 및 132b)는 전기 절연과 기계적 지지 모두를 제공한다. 명확성을 위해, 방출기 차폐(102) 및 소스 차폐(101)는 도 3에 도시되어 있지 않은 것을 주목해야 한다.
전자 유입 개구(106a 및 106b)는 전자 빔(70a 및 70b)의 투과를 제한할 수 있기 때문에, 베이스 플레이트(105a 및 105b)는 활성 전자 빔(70a 및 70b)의 일부를 차단할 수 있다. 베이스 플레이트(105a 및 105b)는 능동 냉각되거나 수동 냉각되어야 한다. 능동 냉각은 베이스 플레이트를 통해 물과 같은 액체 냉각제를 통과시켜 달성될 수 있다. 대안적으로, 수동 냉각은 베이스 플레이트가 그 주변으로 방사해서 냉각되는 온도에 도달하도록 해서 이루어질 수 있다. 이러한 정류 상태 온도(steady-state temperature)는 차단된 빔 출력(beam power), 베이스 플레이트의 표면적rhk 방사율, 및 주변 구성요소의 온도에 의존한다. 베이스 플레이트(105a 및 105b)가, 예를 들어 200℃의 고온에서 작동하도록 하는 것은 냉각 표면에 오염 및 입자 형성 필름을 형성할 수 있는 데카보란 증기와 같이 응결될 수 있는 기체가 흐를 때 유리할 수 있다.
도 2d는 소스의 전자 빔 형성 영역의 단순화된 평면도를 나타낸다. 필라멘트(110b)는 이온화 챔버(44)에 대해, 예를 들어 -0.5 keV의 전위(Ve)에 있고, 애노드(140b), 자극 조립체(125b), 베이스 플레이트(105b) 및 방출기 차폐(102b)는 모두 예를 들어 1.5 keV의 애노드 전위(Va)에 있다. 따라서, 전자 빔 에너지는 2keV이다. 자극 조립체(125b)의 극 사이의 공기 간극에서 자기장(135b)에 의해 전자 빔(70b)이 편향되어, 전자 빔(70b)은 베이스 플레이트 개구(106b)를 통과한다. 베이스 플레이트 개구(106a 및 106b)와, 전자 유입 개구(71a 및 71b)를 위한 전형적인 값은 직경이 각각 1㎝이다.
도 3은 제안된 클러스터 이온 주입 시스템을 포함하는 주요한 하류 요소와 함께 이온 소스를 나타낸다. 도 3에 도시된 것과는 다른 구성이 가능하다. 이온 소스(21)는 클러스터 이온을 포함하는 이온 빔(20)을 생성하기 위해 추출 전극(22)과 결합(coupling)된다. 이온 빔(20)은 전형적으로 많은 상이한 질량의 이온, 즉 주어진 전하 극성의 이온이 이온 소스(21)에 생성되는 모든 종을 포함한다. 이어, 이온 빔(20)이 분석기 자석(23)으로 들어간다. 분석기 자석(23)은 자석 코일의 전류에 의존하는 이온 빔 운반 경로 내에 이중극 자기장(dipole magnetic field)을 생성하며, 자기장의 방향은 도 3의 평면에 수직이다. 분석기 자석(23)의 기능은, 아크(arc)에서 이온 빔을 굽혀 이온 빔을 한 세트의 구성 빔렛(beamlet)으로 공간적으로 분리하는 것으로, 그 반경은 개별 이온의 질량 대 전하 비에 의존한다. 이 같은 아크는 선택된 이온 빔인, 빔 구성요소(24)로 도 3에 도시되어 있다. 자석(23)은 방정식 5로 주어진 반경을 따라 주어진 빔을 굽힌다.
(5) R = (2mU)1/2/qB
상기 식에서, R은 굽힘 반경이고, B는 자속 밀도(magnetic flux density)이며, m은 이온 질량이고, U는 이온 온도 에너지이며, q는 이온 전하 상태이다.
선택된 이온 빔은 좁은 범위의 질량-에너지 생성물의 이온으로만 구성되어, 자석에 의한 이온 빔의 굽힘 반경은 질량-분해 개구(27)를 통해 이러한 빔을 전송한다. 선택되지 않은 빔의 성분은 질량-분해 개구(27)를 통과하지 못하지만, 다른 곳에서 차단된다. 예를 들어, 1 또는 2 원자 질량 단위의 질량을 갖는 수소 이온으로 구성된 선택된 빔(25)보다 질량 대 전하 비가 작은 빔에 있어서, 자기장은 적은 굽힘을 유도하고, 빔은 자석 챔버의 내경 벽(30) 또는 다른 곳을 차단한다. 선택된 빔(26)보다 질량 대 전하 비가 큰 빔에 있어서, 자기장은 보다 큰 굽힘을 유도하고, 빔은 자석 챔버의 외경 벽(29) 또는 다른 곳과 충돌한다. 해당 기술 분야에서 널리 확립된 바와 같이, 분석기 자석(23)과 질량 분해 개구(27)의 조합은 이온 소스로부터 추출된 다종(multi-species) 빔(20)으로부터 이온 빔(24)을 선택하는 질량 분석 시스템을 포함한다. 이어, 선택된 빔(24)은 분석 후 가속/감속 단계(31)를 통과할 수 있다. 이러한 단계(31)는 특정한 주입 공정에 요구되는 원하는 최종 에너지 값으로 빔 에너지를 조절할 수 있다. 분석 후 가속/감속 단계(31)는 정전기 렌즈, 또는 대안적으로, 예를 들어 LINAC(선형 가속기)의 형태를 취할 수 있다. 분해 개구와 웨이퍼 사이에서 전하 교환 또는 중화 반응을 거친 {따라서, 보정 에너지(correct energy)를 갖지 않는} 이온이 웨이터로 전파되는 것을 방지하기 위해, "중성 빔 필터" 또는 "에너지 필터"가 이러한 빔 경로 내에 결합될 수 있다. 예를 들어, 분석 후 가속/감속 단계(31)는 인가된 DC 전자기장을 통해 선택된 이온 빔(24)이 뒤따르는 빔 경로에 "도그레그(dogleg)" 또는 작은 각 편향을 결합시킬 수 있고, 중성이거나 다중 하전된 빔 성분이 반드시 이러한 경로를 따르지는 않을 것이다. 이어, 에너지 조절 빔은 도 3에 도시된 주입 시스템에서 빔 스캐닝 시스템(32)으로 들어간다. 빔 스캐닝 시스템(32)은 전체 타깃(28)이 균일하게 주입되도록 빔을 스캐닝한다. 1차원, 2차원 스캐닝 및 예를 들어 정전기 대 자석 스캐닝 시스템을 갖는 다양한 구성이 가능하다.
이어, 빔은 고 진공 환경에서 유지되는 웨이퍼 공정 챔버(33)로 들어가며, 여기서 빔은 타깃(28)과 충돌한다. 웨이퍼 가공 챔버 및 웨이퍼 처리 시스템의 다양한 구성이 가능하며, 주요 카테고리는 직렬 (한 번에 하나의 웨이퍼) 또는 배치이다 (많은 웨이퍼가 스피닝 디스크에서 함께 가공). 직렬 공정 챔버에서, 전형적으로 일 차원(옆 또는 수직)은 전자기적으로 수직 방향으로 스캐닝된 빔을 가로질러 기계적으로 스캐닝되어, 주입의 우수한 공간 균일성을 보장한다. 배치 시스템에서, 디스크의 스피닝은 방사 방향으로 기계적인 스캐닝을 제공하고, 스피닝 디스크의 수직 또는 수평 스캐닝은 또한 동시에 실행되어, 이온 빔은 정류 상태로 유지된다.
클러스터 이온 주입이 정확한 도핑제 배치를 제공하기 위해, 클러스터 내에 포함된 n개의 도핑제 원자 각각은 동일한 운동 에너지로 기판을 투과하는 것이 필요하고, 분자 이온이 An + 형태인 가장 단순한 경우에 (즉, n개의 도핑제 원자 A로만 구성된), n개의 도핑제 원자 각각은 반도체 기판으로 투과시 클러스터 에너지의 동일한 분율(1/n)을 수용해야 한다. 예를 들어, 다원자 분자가 고체 타깃 표면과 충돌할 때마다 에너지의 이러한 동일한 분할이 일어난다는 것이 Sze의 [VLSI Technology, McGraw Hill, pp. 253-254 (1983)]에 확립되었다. 또한, 이 같은 주입의 전기적인 결과는, 단일 원자 이온 주입을 이용하는 동일한 주입과 동일한 것이 필요하다. 이 같은 결과는 데카보란을 이용한 주입의 경우 상세하게 [Jacobson 등의, "데카보란, 초저 에너지 이온 주입에 대한 대안적인 접근방식", IEEE Proceedings of the XIIIth International Conference on Ion Implantation Technology, Alpsbach, Austria, pp. 300-303 (2000)]에 상세하게 기술되어 있고, 실제로 임의의 도핑제 클러스터에 대해 유사한 결과를 기대한다.
이온 주입 동안, 도핑제 원자는, 채널링(channeling)을 통해, 즉 저밀도의 격자 원자(lattice atom)를 포함한 대칭 방향을 따른 기판 결정 격자, 즉 "채널"에 들어가서, 반도체 기판 안으로 더 깊이 침투할 수 있다. 이온 궤도가 반도체 결정 격자의 채널 방향과 일치하면, 이온은 실질적으로 기판 원자와 충돌을 피해, 도핑제 투사물(dopant projectile)의 범위를 확대한다. 채널링을 제한하거나 심지어 방지하는 효과적인 수단은 기판 표면에 무정형 층을 형성하는 것으로 이루어진다. 이러한 층을 생성하는 한 가지 수단은, 기판을 이루는 동일한 원소(들)의 이온 또는 동일한 전기적 특성(즉, 주기율표의 동일한 컬럼의)을 갖는 이온으로 기판을 주입하는 것으로, 주입 공정에 의해 일어난 결정 손상은 활성화 단계 중 기판의 전기적인 특성을 변화시키지 않으면서 기판 표면에서 층의 결정 구조를 제거하는데 충분하다. 예를 들어, 실리콘 또는 게르마늄 이온은 20keV의 에너지에서 5 ×1014-2의 투여량으로 실리콘 기판에 주입되어 실리콘 기판에서 이 같은 무정형 층을 형성할 수 있으며, 이어 클러스터 이온 주입에 의해 얕은 도핑제 층을 주입할 수 있다.
이러한 방법의 중요한 용도는 CMOS 제조 순서의 부분으로, N-형과 P-형의 얕은 접합부를 형성하기 위해 클러스터 이온 주입을 이용한다는 것이다. CMOS는 현재 사용되는 주된 디지털 집적 회로 기술이고, 그 명칭은 동일한 칩상에 N-채널과 P-채널 MOS 트랜지스터 (상보적인 MOS: N과 P 모두) 모두를 형성하는 것을 의미한다. CMOS의 성공은, 회로 설계자가 보다 양호한 회로, 구체적으로 대안 기술보다 적은 유효 전력(active power)을 끌어들이는 회로를 생성하기 위해 맞은편 트랜지스터의 상보적인 특징을 이용할 수 있다는 것이다. N과 P라는 용어는, 음과 양 {N-형 반도체는 음성 다수 캐리어(negative majority carrier)를 갖고, P-형 반도체는 양성 다수 캐리어(positive majority carrier)를 갖는다}을 기초로 하고, N-채널과 P-채널 트랜지스터는 각 영역의 유형(극성)이 거꾸로 된 서로의 복제물이라는 것을 주목해야 한다. 동일한 기판에 두 가지 유형의 트랜지스터를 제조하는 것은, N-형 불순물에 이어 P-형 불순물을 순차적으로 주입하는 반면, 포토레지스트(photoresist)의 차폐층으로 다른 유형의 디바이스를 보호하는 것을 요구한다. 각 트랜지스터 유형은 바르게 작동하기 위해 양 극성의 영역을 필요로 하고, 얕은 접합부를 형성하는 주입물은 트랜지스터와 동일한 유형이다. 즉, N-채널 트랜지스터로 N-형의 얕은 주입물, P-채널 트랜지스터로 P-형의 얕은 주입물이라는 것을 주목해야 한다. 이러한 공정의 예는, 도4a와 도 4b에 도시되어 있다. 특히, 도 4a는 N-형 클러스터 주입물(88)을 통해 N-채널 드레인 확장부(89)를 형성하는 방법을 예시하지만, 도 4b는 P-형 클러스터 주입물(91)에 의해 P-채널 드레인 확장부(90)를 형성하는 방법을 나타낸다. 트랜지스터의 N-형과 P-형 모두는 유사한 형상의 얕은 접합부를 요구하고, 따라서 N-형과 P-형 클러스터 주입물 모두를 갖는 것은 진보한 CMOS 구조의 형성에 유리하다는 것을 주목해야 한다.
이러한 방법의 적용예는 NMOS 트랜지스터를 형성하는 경우에 대해 도 5에 도시되어 있다. 이러한 특징은 반도체 디바이스를 제조하는 전위 공정(front-end process) 단계의 일부를 거친 반도체 기판(41)을 나타낸다. 상기 구조는 P-웰 (P-well)(43)을 통해 가공된 N-형 반도체 기판(41), 트렌치 차단(42) 및 게이트 스택 형성(44 및 45) 단계로 이루어진다. P-웰(43)은 웰에서 트랜지스터를 위해 접합부 차단을 제공하는 N-형 기판(41)과의 접합부를 형성한다. 트렌치 차단(42)은 N-웰(N-well)과 P-웰 사이(즉, 전체 CMOS 구조)에 측면 유전체 차단을 제공한다. 이어, 게이트 산화물 층(44)과 폴리실리콘 케이트 전극(45)을 포함하는 게이트 스택이 구성되며, 이는 트랜지스터 게이트 스택을 형성하기 위해 패턴화된다. 또한, 포토레지스트(46)는 NMOS 트랜지스터를 위한 영역이 개방되지만, 기판의 기판 영역은 포토레지스트 층(46)에 의해 차폐되도록 도포되고 패턴화된다. 공정 유동의 이러한 지점에서, 기판은 디바이스 제조 공정에 의해 요구되는 가장 얕은 도핑층인 드레인 확장부 주입을 위해 준비된다. 0.13㎛ 기술 노드(node)의 전단 디바이스(leading-edge device)를 위한 전형적인 공정 요건은 1 내지 2keV의 비소 주입 에너지와, 5 ×1014-2의 비소 투여량이다. 클러스터 이온 빔(47), 이 경우 As4Hx +는, 전형적으로 이온 빔의 전파 방향이 기판에 수직이 되도록 반도체 기판으로 향하여, 게이트 스택에 의한 투영(shadowing)을 피한다. As4Hx + 클러스터의 에너지는 원하는 As+ 주입 에너지의 4배, 예를 들어 4keV 내지 8keV이어야 한다. 상기 클러스터는 기판과 충돌시 분리되고, 도핑제 원자는 드레인 확장 영역(48)을 형성하는 반도체 기판의 표면 주변의 얕은 층에 오게된다. 본 발명자는, 동일 주입물이 게이트 전극(49)의 표면 층에 들어가서, 게이트 전극을 위한 추가 도핑을 제공한다는 것을 주목해야 한다. 따라서, 도 5에 기술된 공정은 제안된 발명의 한 가지 중요한 용도이다.
이러한 방법의 추가 적용예, 즉 깊은 소스/드레인 영역의 형성이 도 5a에 도시되어 있다. 이 도면은, 반도체 디바이스 제조에서 추가 공정 단계를 수행한 후 도 5의 반도체 기판(41)을 나타낸다. 추가 공정 단계는 패드 산화물(pad oxide)(51)의 형성과, 게이트 스택의 측벽에 스페이서(52)의 형성을 포함한다. 이 지점에서, 주입될 트랜지스터, 이 예에서 NMOS 트랜지스터를 노출시키기 위해 포토레지스트 층(53)이 도포 및 패턴화된다. 이어, 소스와 드레인 영역(55)을 형성하기 위한 이온 주입이 수행된다. 이러한 주입은 저 에너지에서 높은 투여량을 요구하므로, 이는 제안된 클러스터 주입 방법을 적절히 적용한 것이다. 0.13㎛ 기술 노드를 위한 전형적인 주입 파라미터는, 5 ×1015-2의 비소 투여량에서 비소 원자(54)당 약 6keV이고, 그래서 24keV, 1.25 ×1015-2 As4Hx + 주입물; 12keV, 2.5 ×1015-2 As2Hx + 주입물; 또는 6keV, 5 ×1015-2 As+ 주입물을 요구한다. 도 5에 도시된 바와 같이, 소스 및 드레인 영역(55)은 이러한 주입물에 의해 형성된다. 이들 영역은 회로 상호접속(circuit interconnect)(상기 공정에서 추후 형성)과, 채널 영역(56) 및 게이트 스택(44 및 45)과 함께 드레인 확장 영역에 의해 한정된 고유 트랜지스터 사이의 높은 전도도 연결을 제공한다. 게이트 전극(45)은 이러한 주입물(도시된 바와 같음)에 노출될 수 있고, 노출될 경우, 소스/드레인 주입물은 게이트 전극을 위한 1차 도핑 소스를 제공한다. 이는 다중 도핑층(57)으로 도 5a에 도시되어 있다.
PMOS 드레인 확장부(148)와 PMOS 소스와, 드레인 영역(155)의 형성을 나타내는 상세한 도면이 도 5b와 도 5c에 각각 도시되어 있다. 구조 및 공정은 도핑제 유형이 거꾸로 되어 있는 도 5a 및 도 5b와 동일하다. 도 5b에서, PMOS 드레인 확장부(148)는 붕소 클러스터 주입물(147)의 주입으로 형성된다. 이러한 주입을 위한 전형적인 파라미터는, 0.13㎛ 기술 노드를 위해 5 ×1015-2의 투여량으로 붕소 원자당 500eV의 주입 에너지일 수 있다. 따라서, B10Hx 주입물은 5keV에 있고, 5 ×1013-2의 데카보란 투여량일 것이다. 도 5c는 또한 데카보란과 같은 P-형 클러스터 이온 빔(154)의 주입에 의한 PMOS 소스 및 드레인 영역(148)의 형성을 나타낸다. 이러한 주입을 위한 전형적인 파라미터는, 0.13㎛ 기술 노드를 위해 5 ×1015-2 (즉, 5 ×1014-2에서 20keV 데카보란)의 붕소 투여량으로 붕소 원자당 약 2keV의 에너지일 수 있다.
일반적으로, 이온 주입만으로는 효과적인 반도체 접합부를 형성하는 데 충분하지 않지만, 열처리는 주입된 도핑제를 전기적으로 활성화시키는데 필수적이다. 주입 후, 반도체 기판의 결정 구조는 심하게 손상을 입고 (기판 원자는 결정 격자 위치에서 이동된다), 주입된 도핑제는 기판 원자에 단지 약하게 결합되어 있어, 주입된 층은 빈약한 전기 특성을 갖는다. 고온(900℃ 초과)에서 열처리, 또는 어닐링(anneal)은 전형적으로 반도체 결정 구조를 회복하고, 도핑제 원자를 치환적으로, 즉 결정 구조에서 기판 원자 중 하나의 위치에 위치시키기 위해 수행된다. 이러한 치환은 도핑제가 기판 원자와 결합하도록 하고, 전기적으로 활성화시키는데, 즉 반도체 층의 전도도를 바꾸도록 한다. 그러나, 이러한 열 처리는 얕은 접합부의 형성에 나쁘게 작용하는데, 이는 주입된 도핑제의 확산이 열 처리 동안에 발생하기 때문이다. 사실상, 열 처리 동안의 붕소 확산은 0.1㎛ 미만 범위(regime)에서 USJ를 달성하는데 있어 제한 요소이다. 개선된 공정은 이러한 열 처리가 "스파이크 어닐(spike anneal)"과 같은 얕은 주입된 도핑제의 확산을 최소화하도록 개발되었다. 스파이크 어닐은, 가장 높은 온도에서의 체류 시간이 0에 가깝고, 가능한 한 신속하게 온도를 증가시켰다가 감소시키는 신속한 열적 공정이다. 이러한 방법으로, 주입된 도핑제를 활성화시키는데 필요한 고온에 도달하면서, 도입된 도핑제의 확산은 최소화된다. 이 같이 진보한 열 처리는 본 발명과 함께 사용되어 완성된 반도체 디바이스의 제조에서의 이의 이점을 최대화할 수 있는 것으로 예상된다.
도 6은 인 클러스터 이온의 생성 및 질량-분해된 인 클러스터 이온 빔의 형성을 증명한다. 이러한 질량 스펙트럼은 소스 공급 기체로 포스핀(PH3)을 이용하는 본 발명의 이온 소스의 작동 동안에 얻어진 데이터를 나타낸다. 이러한 질량 스펙트럼은, 수직 스케일의 이온 전류의 세기(61) 대 수평 스케일의 이온 질량 대 전하 비를 결정하는 분석기 자기장(62)을 나타낸다. 전류는 2차 전자가 효과적으로 억제되는 파라데이 컵(Faraday cup)에서 측정되었다. 주어진 추출 전압(V)에 대해 2개의 양이 m/q =aB2 (여기서, a는 상수)에 의해 관계되기 때문에 자기장과 선형인 수평 스케일(62)은 질량 대 전하 비가 비선형이다. 이는, 수평 스케일(62)에서 보다 높은 질량 피크가 서로 인접하게 한다. 인 클러스터는 클러스터당 각각 2개, 3개 및 4개의 인 원자를 갖는 신호(65, 66 및 67)로 관측된다. 이러한 스펙트럼의 분석은 본 발명의 이온 소스가 작동 동안에 클러스터의 형성과 보존을 지지한다는 것을 증명한다. 그래프의 왼쪽에 있는 신호(63)의 제 1 그룹은 질량수가 1과 2인 수소 이온이다. 수소 피크는 비교적 작고, 인을 함유하는 피크보다 훨씬 작다. 신호(64)의 제 2 그룹은 질량(31)과 질량(35) 사이에서 발생하고, 하나의 인 원자를 포함하는 이온에 해당한다. 종래의 주입 공정 동안, 1개, 여러 개, 또는 이들 모든 피크가, 선택된 질량 분해 개구(27)(도 2 참조)의 선택에 따라 주입될 수 있다. 몇몇 용도는, 상기 공정에서 H에 대한 감도가 존재하는 경우에 31P+ 피크만의 선택을 요구할 수 있다. 이러한 경우에, 좁은 질량 분해 개구는 수화물 피크, 즉 PHx + (여기서, x는 1, 2, 3 또는 4이다)를 제외하기 위해 수행될 수 있다. 다른 공정은 생산성을 증가시키기 위해 이러한 그룹 내에 모든 피크의 주입을 요구할 수 있다. 우측의 다음 신호 그룹(65)은 인 이량체(P2)로 구성되며, 이들 각각의 입자는 2개의 인 원자를 포함한다. 가장 좌측의 큰 신호는 질량수가 62인 P2 +에 해당한다. 우측으로 이웃한 신호는 P2Hx + (여기서, x는 1 내지 6)에 대한 신호이다. 본 발명자는 이들 신호의 세기가 단량체 피크(64)에 비해 감소되지만, 관측된 세기는 소스 투입 설정(source input setting)의 전체 세트에 의존하고, 예를 들어 이량체 요구시 P2 + 피크의 상대적인 높이를 최대화하도록 최적화될 수 있다는 것을 또한 주목해야 한다. 질량 분해 개구의 선택은 주입 공정 동안에 얼마나 많은 이들 빔이 주입될 것인지를 결정한다. 우측의 다음 신호 그룹(66)은 3개의 인 원자(P3 +)를 포함하는 인 클러스터 이온에 해당한다. 우측의 다음 신호(67)는 4개의 인 원자를 포함하는 인 클러스터 이온에 해당한다. 이러한 클러스터의 세기가 P3Hx + 클러스터에 대한 세기보다 높고, P4 + 클러스터(관측된 강도의 4배)를 이용한 순 투여 속도는 P+ 또는 P2 +를 주입하기 위한 속도보다 크고, 주입된 인 원자당 에너지는 공칭 이온 빔 에너지의 단지 1/4이라는 것을 주목하는 것은 흥미롭다.
도 7은, 본 발명을 이용한 AsH3의 질량 스펙트럼을 나타낸다. 이온 빔 에너지는 19keV이어서, As4Hx +의 효과적인 As 주입 에너지는 4.75keV일 수 있다. 도 7에서 As4Hx +의 빔 전류는 약 0.25㎃이어서, 대등한 As 도핑제 전류는 약 1㎃이다. 도 7은 또한 0.5mA 내지 1.0㎃의 입자 전류가 As, As2, As3, 또는 As4 함유 이온 빔의 주입으로부터 생성되어, 도 7의 스펙트럼의 다른 부분을 선택하기 위해 단순히 분석기 자석 전류를 조절하여 약 20 내지 5 keV의 효과적인 주입 에너지 범위를 또한 제공한다는 것을 예시한다.
도 8은 As 주입 에너지의 함수로 As4Hx + 전류를 나타낸다. 이온 빔의 각 분산은 11mR의 측면 또는 분산 방향에서 반각 또는 약 0.6도로 질량 분해 개구 (예를 들어, 도 3의 27 참조)와 파라데이 컵 사이에서 개구에 의해 제한된다. 1 keV/원자는 반도체 공정이 USJ 디바이스로 비소를 주입하기 위해 요구하는 하한선이다.
도 9는 빔 휘도의 단위로 변환된 도 8의 빔 전류를 예시하고, "전형적인" 최근 중간 전류 주입기와 비교한다. 증가는 약 30배이다 (예상 중간 전류 주입기 사양은 40 mrad 반각 허용도, 및 10 keV에서 200uA의 빔 전류). 문헌 [Handbook of Ion Implantation Technology, J.F. Ziegler, ed., North-Holland, pp. 455-499 (1992)]에서 Stephens는 다음과 같이 휘도(B)를 정의한다:
(6) B = 2I/π2ε2(㎂-㎜-2-mrad-2)
상기 식에서, I는 효과적인 도핑제 빔 전류(단위: ㎂)이고, c는 빔 방출도 {단위: (밀리라디안-밀리미터)2}이다. 방출도는 하기 방정식으로 계산된다.
(7) ε= δα
상기 식에서, δ는 분산성 평면의 빔 반너비(half-width)이고, α는 반펜슬각(half-pencil angle)이며, 둘 모두는 화상 평면, 즉 분해 개구 위치에서 측정된다.
빔 휘도는, 얼마나 많은 빔 전류가, 예를 들어 특정한 직경과 길이의 관을 통해 특정한 허용도로 투과될 수 있는지를 정량화하는 중요한 감도 지수(figure of merit)이다. 이온 주입기 빔 라인이 명확한 허용도를 가지므로, 휘도는 방출도 제한 빔을 위한 중요한 생산성 척도이다. 방출도는 통상적으로 저 에너지 빔의 운반에 있어서 제한 요소이다. 본 발명자는 이것이 주로 방정식 1 내지 3에서 나타내 바와 같이 클러스터 이온 대 단량체 이온을 이용하는 이점이라는 것을 주목해야 한다. As4 주입을 위해, 방정식 3, 즉 △ = n2은 16의 처리능력 증가를 예상한다.
도 10은, 4.75keV 및 19keV에서 AsHx +과 As4Hx + 이온으로 각각 주입된 실리콘 시료에 대한 2차 이온 질량 분광법(SIMS) 결과를 나타낸다. 원자 투여량은 약 1 ×1016-2이었다. 이들 데이터는 실리콘으로 이온 주입을 모의 실험하기 위해 산업 분야에서 통상적으로 사용되는 완전 동적 스캐터링 모델(full dynamical scattering model) TRIM과 비교하였다. 그 결과는, 실제로 지정된 에너지에서 As 및 As4를 주입한다는 것을 나타낸다.
도 11은, 종래의 이온 주입에서는 통상적으로 사용되지 않지만 시판중인 기체 물질인 다이보란(B2H6)의 질량 스펙트럼을 나타낸다. 도 11은, H(H+, H2 +, H3 +), B(B, BH+, BH2 +), B2(B2 +, B2H+, B2H2 +, B2H3 +, B2H4 +), B3(B3, B3H+, B3H2 +, B3H3 +, B3H4 +), B4(B4, B4H+, B4H2 +, B4H3 +, B4H4 +) 및 B5 그룹의 그룹화를 나타낸다. 도 11의 질량 스펙트럼은 그 해석이 다소 복잡한데, 이는 자연 존재비(natural abundance)를 반영하는 약 4:1 비의 11B 대 10B으로 나타나는 2개의 자연 발생 붕소 동위 원소인 10B과 11B가 존재하기 때문이다. 예를 들어, 11B 및 10B는 11amu 피크에 존재한다.
도 12는, 본 발명에서 수화붕소 클러스터 및 클러스터 양이온의 생성을 증명한다. 이러한 질량 스펙트럼은 소스 공급 물질로 기화된 데카보란 B1OH14를 이용하여 본 발명의 이온 소스를 작동하는 동안 얻어진 자료를 나타낸다. 1amu에서 약 124amu까지 1amu 단위로 분리되어 있는 ByHx + (여기서, 1 ≤y ≤10이고, 0 ≤x ≤14) 형태의 수화붕소 클러스터가 도시되어 있다. 관측된 가장 큰 신호 B10Hx +는 데카보란 분자 이온에 해당하고, 이는 데카보란 모 분자(parent molecule)의 직접 이온화에 의해 형성된다.
도 13은, 도 12의 스펙트럼과 유사한, 본 발명의 이온 소스에 의해 생성된 데카보란의 음이온 스펙트럼을 나타낸다. 훨씬 적은 이온 상태는 데카보란 음이온에 의해 형성되어, 대부분의 이온(약 90%)은 B10Hx -의 모 피크(parent peak) 내에 포함된다. 반도체의 이온 주입에 음이온을 사용하는 것이 매우 유리한데, 이는 실질적으로 양이온 주입에서 관찰되는 웨이퍼 하전(wafer charging)을 제거하기 때문이다. 이온 소스가 주어진 물질의 음이온과 양이온 모두를 다량으로 생성하는 것은 특이하고, 도 12와 도 13의 피크 이온 전류는 두 배 내에서 동일하다. 이는 확장된 질량 범위에 대해 도 14에서 도식적으로 나타나 있다. 이들 데이터는 양이온 질량 스펙트럼을 수집하고, 이온 주입기 전원의 극성을 반대로 하고, 본 발명의 이온 주입 시스템으로 동일한 종이 시트 상에 동일한 질량 범위의 음이온 스펙트럼을 수집해서 도시된 바와 같이 수집된다. 파라데이 컵 전류는 도 14를 수집하기 위해 x-y 종이 기록기(paper recorder)에 공급되었다. 데카보란의 경우에 양이온이 아닌 음이온을 주입하는데 있어 상당한 이점이 명백하다: 1) 보다 유용한 이온 전류는 중요한 피크 내에 존재하여 훨씬 유용한 도핑제 플럭스(flux)를 생성하고; 2) 모 피크는 거의 두 배 질량이 좁고 (음이온에 대해 5 amu 대 양이온에 대해 9 amu의 1/2 최대치에서 최대 너비); 3) 기술 분야에서 일반적으로 허용되는 바와 같이, 음이온이 양이온으로 치환되는 경우 웨이퍼 하전의 제거.
도 15는 20keV의 데카보란 에너지에서 실리콘 시료에 주입된 양이온과 음이온 데카보란에 대한 SIMS 프로파일을 나타낸다. 각각의 이온이 동일한 수의 붕소 원자를 포함하고, 따라서 동일한 투입 범위로 주입되는 것을 예상할 수 있기 때문에, 프로파일은 거의 동일하다.
도 16은 음성 데카보란 주입물에 대한 SIMS 데이터를 나타내며, 또한 H 농도를 나타낸다. H 투여량은 붕소 투여량의 0.9배이고, 이는 B10H9 -의 음성 데카보란에 대한 평균 화학식을 제시한다.
도 17은 이온화 확률이 전자 충돌 이온화를 위한 전자 에너지에 의존하는 방법을 예시한다. 암모니아(NH3)가 예로 사용된다. 확률은 10-16㎠ 단위로 횡단면(σ)으로 표시된다. 전자 에너지(T)는 단위가 eV, 즉 전자-볼트이다. 제 1 원칙으로부터 계산된 BEB(수직 IP) 및 BEB(단열(adiabatic) IP)로 표시된 2 세트의 이론 곡선과, [Djuric et al. (1981)]과, [Rao and Srivastava (1992)]의 2 세트의 실험 데이터가 도시되어 있다. 도 17은 특정한 범위의 전자 에너지가 다른 에너지 범위에서 보다 이온화를 많이 생성한다는 사실을 예시한다. 이들 데이터는 양이온의 생성에 적용되고, 유사한 고려가 음이온의 생성에 적용되지만, 강한 에너지 의존도가 명백하다. 일반적으로, 양이온 생성을 위한 단면은 약 50 내지 500eV의 전자 충돌 에너지에서 가장 크고, 약 100eV에서 피크를 이룬다. 따라서, 전자 빔이 이온화 챔버(44)로 들어가는 에너지는 본 발명의 이온 소스의 작동에 영향을 미치는 중요한 파라미터이어서, 이온화 챔버로 투과하는 전자의 에너지가 거의 0에서 약 5,000eV까지 변할 수 있도록 전자 빔 운반을 설계하였다. 도 2b 내지 도 2d에 나타낸 특징은 본 발명이 전자 광학 장치와 결합하는 방법을 나타내고, 이 광학 장치는 이온 소스의 전자 빔 형성 영역과 편향 영역에서 거의 일정한 조건으로 작동하는 동안 전자 충돌 이온화 에너지를 광범위하게 조절한다.
도 18은 본 발명의 이온 소스로 생산된 데카보란 양이온의 질량 스펙트럼이다. 질량 스펙트럼을 구성하는 개개의 이온은 표지된다. 일반적으로, 이온은 형태 BnHx + (여기서, 1 ≤n ≤10이고 0 ≤x ≤14)이다. 단연 가장 큰 피크는 B10Hx + 모 이온이며, 이때 대부분의 피크 세기는 약 8 amu (원자 질량 단위) 내에 있다. 이러한 모 이온은 양이온 주입을 위한 선택일 수 있다.
도 19는 본 발명의 이온 소스로 생산된 음이온과 양이온 데카보란의 질량 스펙트럼이다. 질량 스펙트럼을 구성하는 개개의 이온은 표지된다. 음이온 스펙트럼은 양이온 스펙트럼보다 훨씬 단순하다. 특히, 약 90%의 스펙트럼이 B10Hx + 모 이온으로 구성되지만, 수소 또는 낮은 차수의 보란 이온(lower-order borane ion)은 명백하지 않다. B10Hm + 이온과 같이, 모 음이온(negative parent ion)의 대부분의 피크 세기는 약 8 amu 이내이다. 모 이온은 음이온 주입을 위한 선택일 수 있다.
반도체에서 얕은 접합부의 형성에 사용하기 위해 중요한 여러 원소가 있다. 실리콘 용도에서, 1차 도핑제는 붕소, 인, 비소 및 안티몬이고, 이들 원소는 얕은 접합부를 형성하는데 가장 큰 잠재 용도를 갖는다. 또한, 실리콘 및 게르마늄 주입물은 실리콘에서 무정형 영역을 형성하기 위해 사용되어, 이들 원소의 클러스터는 얕은 무정형 영역을 형성하는데 유용할 것이다. 화합물 반도체에 있어서, 얕은 접합부를 위해 중요한 원소는 실리콘, 게르마늄, 주석, 아연, 카드뮴 및 베릴륨을 포함하여, 이들 원소의 클러스터는 화합물 반도체 제조에서 얕은 접합부를 형성하는 기회를 갖는다.
이러한 방법의 하나의 양상은 클러스터 이온을 형성하기 위해 이온화 챔버 내의 적절한 환경을 제공하는 것이다. 논의된 다양한 각각의 원소 서로 다른 화학 특성을 갖고 있어, 적절한 환경은 각 원소에 대해 서로 다르다. 각 원소와 각각의 선택된 클러스터는 최적 성능을 달성하기 위해 상이한 세트의 투입 파라미터를 요구할 것이다. 최적화를 위해 이용 가능한 파라미터는 공급 물질의 유동에 의해 조절되는 소스 압력; 온도 제어 시스템에 의해 제어되는 이온화 챔버 내의 온도; 이온화 세기; 및 이온화 에너지가 전자 빔인 경우에 전자 빔 전류 및 전자 에너지와 같은 특징들을 포함한다. 이들의 기본적인 파라미터는 함께 작용하여 도핑제 클러스터의 형성 및 이온화를 위해 소스 이온화 챔버 내에 적절한 환경을 조성한다.
상술한 바와 같이, 도핑제 원자의 클러스터의 이온 주입은 단일 도핑제 원자의 이온 주입에 비해 높은 효율성으로 얕은 깊이에서 N-형 및 P-형 도핑제 둘 모두를 주입하는 것을 가능하게 한다.
본 발명은 몇몇 실시예과 함께 기술되어 있다. 본 발명은 이에 한정되지 않는다. 예를 들어, 여러 변형, 대안, 개선 및 이들의 조합이 가능하다는 것은 당업자에게 자명할 것이다.
명백히, 상기 교시에 비추어, 본 발명의 많은 변형예와 변경이 가능하다. 따라서, 첨부된 청구항의 범위 내에서, 본 발명은 구체적으로 상술된 것과 다르게 실행될 수 있다는 것을 이해해야 한다.
청구되고, 특허증에 의해 포함되고자 하는 것은 다음과 같다.
본 발명에 따라 N-형 및 P-형 클러스터 이온 및 음이온의 주입에 의한 제조된 CMOS 디바이스는 반도체 기판에 N-형 또는 P-형의 극단적으로 얕은 불순물-도핑된 영역을 형성하고, 생산성이 높은 상기 영역을 형성할 수 있을 뿐만 아니라, 클러스터의 형태로 이온이 주입되어 이온 운반 문제를 완화시키므로 CMOS 디바이스 제조에 유용하게 사용될 수 있다.

Claims (111)

  1. 도핑제(dopant) 물질을 기판에 주입하는 방법으로서,
    (a) 제 1 분자 종으로부터 N-형 클러스터 이온을 생성하는 단계와,
    (b) 제 2 분자 종으로부터 P-형 클러스터 이온을 생성하는 단계와,
    (c) 기판의 제 1 영역에 상기 N-형 클러스터 이온을 주입하는 단계와,
    (d) 상기 기판의 제 2 영역에 상기 P-형 클러스터 이온을 주입하는 단계를
    포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  2. 제 1항에 있어서, 상기 (a) 단계는 아르신 (AsH3) 기체로부터 상기 N-형 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  3. 제 1항에 있어서, 상기 (a) 단계는 원소 비소 증기(elemental arsenic vapor)(As)로부터 상기 N-형 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  4. 제 2항에 있어서, 상기 (a) 단계는 As4 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  5. 제 3항에 있어서, 상기 (a) 단계는 As4 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  6. 제 2항에 있어서, 상기 (a) 단계는 As3 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  7. 제 3항에 있어서, 상기 (a) 단계는 As3 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  8. 제 2항에 있어서, 상기 (a) 단계는 As2 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  9. 제 3항에 있어서, 상기 (a) 단계는 As2 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  10. 제 2항에 있어서, 상기 (a) 단계는 As4Hx + 클러스터 이온 (x는 정수이고 1 ≤x ≤6)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  11. 제 2항에 있어서, 상기 (a) 단계는 As3Hx + 클러스터 이온 (x는 정수이고 1 ≤x ≤5)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  12. 제 2항에 있어서, 상기 (a) 단계는 As2Hx + 클러스터 이온 (x는 정수이고 1 ≤x ≤4)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  13. 제 1항에 있어서, 상기 (a) 단계는 포스핀 (PH3) 기체로부터 상기 N-형 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  14. 제 1항에 있어서, 상기 (a) 단계는 원소 인 증기(elemental phosphorus vapor)(P)로부터 상기 N-형 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  15. 제 13항에 있어서, 상기 (a) 단계는 P4 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  16. 제 14항에 있어서, 상기 (a) 단계는 P4 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  17. 제 13항에 있어서, 상기 (a) 단계는 P3 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  18. 제 14항에 있어서, 상기 (a) 단계는 P3 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  19. 제 13항에 있어서, 상기 (a) 단계는 P2 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  20. 제 14항에 있어서, 상기 (a) 단계는 P2 + 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  21. 제 13항에 있어서, 상기 (a) 단계는 P4Hx + 클러스터 이온 (x는 정수이고 1 ≤x ≤6)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  22. 제 13항에 있어서, 상기 (a) 단계는 P3Hx + 클러스터 이온 (x는 정수이고 1 ≤x ≤5)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  23. 제 13항에 있어서, 상기 (a) 단계는 P2Hx + 클러스터 이온 (x는 정수이고 1 ≤x ≤4)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  24. 제 1항에 있어서, 상기 (b) 단계는 데카보란 (B10H14) 기체로부터 상기 클러스터 이온을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  25. 제 24항에 있어서, 상기 (b) 단계는 BnHx + 클러스터 이온 (n과 x는 정수이고, 2 ≤n ≤10이고 0 ≤x ≤14)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  26. 제 25항에 있어서, 상기 (b) 단계는 B10Hx + 클러스터 이온 (x는 정수이고, 0 ≤x ≤14)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  27. 제 1항에 있어서, 상기 (b) 단계는 B10Hx - 클러스터 음이온 (x는 정수이고, 0 ≤x ≤14)을 생성하는 단계를 포함하는,
    기판에 도핑제 물질을 주입하는 방법.
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 삭제
  84. 삭제
  85. 삭제
  86. 삭제
  87. 삭제
  88. 삭제
  89. 삭제
  90. 삭제
  91. 삭제
  92. 삭제
  93. 삭제
  94. 삭제
  95. 삭제
  96. 삭제
  97. 삭제
  98. 삭제
  99. 삭제
  100. 삭제
  101. 삭제
  102. 삭제
  103. 삭제
  104. 삭제
  105. 삭제
  106. 삭제
  107. 삭제
  108. 삭제
  109. 삭제
  110. 삭제
  111. 삭제
KR1020047021201A 2002-06-26 2003-06-06 기판에 도핑 물질을 주입하는 방법 KR100702582B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US39184702P 2002-06-26 2002-06-26
US39227102P 2002-06-26 2002-06-26
US60/391,847 2002-06-26
US60/392,271 2002-06-26
US10/251,491 2002-09-20
US10/251,491 US20040002202A1 (en) 2002-06-26 2002-09-20 Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
PCT/US2003/017839 WO2004003990A1 (en) 2002-06-26 2003-06-06 Method of manufacturing cmos devices by the implantation of n- and p-type cluster ions and negative ions

Publications (2)

Publication Number Publication Date
KR20050013636A KR20050013636A (ko) 2005-02-04
KR100702582B1 true KR100702582B1 (ko) 2007-04-04

Family

ID=30003730

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047021201A KR100702582B1 (ko) 2002-06-26 2003-06-06 기판에 도핑 물질을 주입하는 방법

Country Status (7)

Country Link
US (2) US20040002202A1 (ko)
EP (1) EP1535324B1 (ko)
KR (1) KR100702582B1 (ko)
CN (1) CN1679153A (ko)
AU (1) AU2003247495A1 (ko)
TW (1) TWI288964B (ko)
WO (1) WO2004003990A1 (ko)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
US7838842B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. Dual mode ion source for ion implantation
WO2001043157A1 (en) * 1999-12-13 2001-06-14 Semequip, Inc. Ion implantation ion source, system and method
US7121474B2 (en) * 2002-06-18 2006-10-17 Intel Corporation Electro-optical nanocrystal memory device
US7960709B2 (en) * 2002-06-26 2011-06-14 Semequip, Inc. Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
US6686595B2 (en) 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
JP4443816B2 (ja) * 2002-09-06 2010-03-31 シャープ株式会社 イオンドーピング装置及びイオンドーピング装置用多孔電極
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
EP1584104A4 (en) * 2002-12-12 2010-05-26 Tel Epion Inc RE-CRYSTALLIZATION OF A SEMICONDUCTIVE SURFACE FILM AND SEMICONDUCTOR DOTING BY MEANS OF ENERGETIC CLUSTER RADIATION
US7396745B2 (en) * 2004-12-03 2008-07-08 Tel Epion Inc. Formation of ultra-shallow junctions by gas-cluster ion irradiation
US20070010095A1 (en) * 2003-05-28 2007-01-11 Kyoto University Surface treatment method using ion beam and surface treating device
US6995079B2 (en) * 2003-08-29 2006-02-07 Semiconductor Energy Laboratory Co., Ltd. Ion implantation method and method for manufacturing semiconductor device
KR101160642B1 (ko) * 2003-12-12 2012-06-28 세미이큅, 인코포레이티드 고체로부터 승화된 증기의 유동제어
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
JP2005217230A (ja) * 2004-01-30 2005-08-11 Toshiba Corp イオン注入シミュレーション装置、イオン注入シミュレーション方法、イオン注入シミュレーションプログラム及びイオン注入シミュレーションプログラムを記録したコンピュータ読み取り可能な記録媒体
JP2007525838A (ja) * 2004-02-14 2007-09-06 エピオン コーポレーション ドープ済みおよび未ドープの歪み半導体の形成方法およびガスクラスタイオン照射による半導体薄膜の形成方法
US7312461B2 (en) 2004-09-21 2007-12-25 Uchicago Argonne Llc Laparoscopic tumor therapy using high energy electron irradiation
US7250727B2 (en) * 2004-09-21 2007-07-31 Uchicago Argonne Llc High power, long focus electron source for beam processing
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7553763B2 (en) * 2005-08-09 2009-06-30 United Microelectronics Corp. Salicide process utilizing a cluster ion implantation process
WO2007027798A2 (en) * 2005-08-30 2007-03-08 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US20100112795A1 (en) * 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
EP2469584A1 (en) 2005-12-09 2012-06-27 Semequip, Inc. Method of implanting ions
US20070178679A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR100732630B1 (ko) * 2006-02-01 2007-06-27 삼성전자주식회사 불순물 도핑 영역을 포함하는 반도체 소자 및 그 형성 방법
US7473606B2 (en) * 2006-02-22 2009-01-06 United Microelectronics Corp. Method for fabricating metal-oxide semiconductor transistors
KR100694660B1 (ko) * 2006-03-08 2007-03-13 삼성전자주식회사 트랜지스터 및 그 제조 방법
KR20090024703A (ko) * 2006-06-12 2009-03-09 세미이큅, 인코포레이티드 진공에서 증기를 디바이스에 전달하는 시스템
US8013312B2 (en) * 2006-11-22 2011-09-06 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizer for use in such system
US7919402B2 (en) * 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
US7579602B2 (en) * 2006-12-22 2009-08-25 Varian Semiconductor Equipment Associates, Inc. Ion implantation with a collimator magnet and a neutral filter magnet
WO2008128039A2 (en) * 2007-04-11 2008-10-23 Semequip, Inc. Cluster ion implantation for defect engineering
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US20090087970A1 (en) * 2007-09-27 2009-04-02 Applied Materials, Inc. Method of producing a dopant gas species
TWI413149B (zh) * 2008-01-22 2013-10-21 Semequip Inc 離子源氣體反應器及用於將氣體饋給材料轉化成不同分子或原子物種之方法
US7759657B2 (en) 2008-06-19 2010-07-20 Axcelis Technologies, Inc. Methods for implanting B22Hx and its ionized lower mass byproducts
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8138071B2 (en) * 2009-10-27 2012-03-20 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8062965B2 (en) * 2009-10-27 2011-11-22 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8779383B2 (en) 2010-02-26 2014-07-15 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same
TWI585042B (zh) 2010-02-26 2017-06-01 恩特葛瑞斯股份有限公司 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
US9024273B2 (en) 2010-04-20 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Method to generate molecular ions from ions with a smaller atomic mass
WO2012030679A2 (en) 2010-08-30 2012-03-08 Advanced Technology Materials, Inc. Apparatus and method for preparation of compounds or intermediates thereof from a solid material, and using such compounds and intermediates
US8742373B2 (en) 2010-12-10 2014-06-03 Varian Semiconductor Equipment Associates, Inc. Method of ionization
KR101929070B1 (ko) * 2011-03-24 2018-12-13 엔테그리스, 아이엔씨. 비소 및 인의 클러스터 이온 주입 방법
TWI583442B (zh) 2011-10-10 2017-05-21 恩特葛瑞斯股份有限公司 B2f4之製造程序
US8563966B2 (en) * 2011-12-30 2013-10-22 Khalifa University of Science, Technology & Research (KUSTAR) Nano metal particles based tunneling field effect transistor and nano-switch
KR20140133571A (ko) 2012-02-14 2014-11-19 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 주입 빔 및 소스 수명 성능 개선을 위한 탄소 도판트 기체 및 동축류
US9812291B2 (en) * 2012-02-14 2017-11-07 Entegris, Inc. Alternate materials and mixtures to minimize phosphorus buildup in implant applications
US9315892B2 (en) * 2013-03-15 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Method and apparatus for controlling beam angle during ion implantation of a semiconductor wafer based upon pressure
JP6076834B2 (ja) * 2013-05-28 2017-02-08 住友重機械イオンテクノロジー株式会社 高エネルギーイオン注入装置
SG10201801299YA (en) 2013-08-16 2018-03-28 Entegris Inc Silicon implantation in substrates and provision of silicon precursor compositions therefor
KR20170004381A (ko) * 2015-07-02 2017-01-11 삼성전자주식회사 불순물 영역을 포함하는 반도체 장치의 제조 방법
US10290752B1 (en) * 2016-05-04 2019-05-14 St3 Llc Methods of doping semiconductor materials and metastable doped semiconductor materials produced thereby
US10770261B2 (en) 2017-12-14 2020-09-08 Varian Semiconductor Equipment Associates, Inc. System and method to monitor glitch energy
US10573485B1 (en) * 2018-12-20 2020-02-25 Axcelis Technologies, Inc. Tetrode extraction apparatus for ion source

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4370176A (en) * 1980-02-01 1983-01-25 Commissariat A L'energie Atomique Process for fast droping of semiconductors
KR100204856B1 (ko) * 1993-11-22 1999-06-15 가네꼬 히사시 반도체 기판의 표면 영역내에 얕은 접합을 형성하기 위한 방법 및 장치

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3915757A (en) * 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3908183A (en) * 1973-03-14 1975-09-23 California Linear Circuits Inc Combined ion implantation and kinetic transport deposition process
US4224733A (en) * 1977-10-11 1980-09-30 Fujitsu Limited Ion implantation method
JPH0191431A (ja) * 1987-04-16 1989-04-11 Sumitomo Eaton Noba Kk イオン打ち込み装置におけるウエハ帯電量検知装置
US4902572A (en) * 1988-04-19 1990-02-20 The Boeing Company Film deposition system
JPH04112587A (ja) * 1990-08-31 1992-04-14 Shimadzu Corp ホール素子
JPH05106037A (ja) * 1991-10-16 1993-04-27 Mitsubishi Electric Corp イオン注入装置及びその制御方法
JPH06176724A (ja) * 1992-01-23 1994-06-24 Tokyo Electron Ltd イオン源装置
US5444302A (en) * 1992-12-25 1995-08-22 Hitachi, Ltd. Semiconductor device including multi-layer conductive thin film of polycrystalline material
US5433791A (en) * 1994-05-26 1995-07-18 Hughes Aircraft Company MBE apparatus with photo-cracker cell
US6013546A (en) * 1997-12-19 2000-01-11 Advanced Micro Devices, Inc. Semiconductor device having a PMOS device with a source/drain region formed using a heavy atom p-type implant and method of manufacture thereof
US6093594A (en) * 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6218672B1 (en) * 1998-07-24 2001-04-17 Sarnoff Corporation Ion source
WO2001043160A1 (en) * 1999-12-10 2001-06-14 Epion Corporation Ionizer for gas cluster ion beam formation
US6452338B1 (en) * 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
US6825101B1 (en) * 2000-03-27 2004-11-30 Ultratech, Inc. Methods for annealing a substrate and article produced by such methods
US6495474B1 (en) * 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
US6686595B2 (en) * 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4370176A (en) * 1980-02-01 1983-01-25 Commissariat A L'energie Atomique Process for fast droping of semiconductors
KR100204856B1 (ko) * 1993-11-22 1999-06-15 가네꼬 히사시 반도체 기판의 표면 영역내에 얕은 접합을 형성하기 위한 방법 및 장치

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
특허공보 10-0204856 *

Also Published As

Publication number Publication date
EP1535324A1 (en) 2005-06-01
US20040002202A1 (en) 2004-01-01
CN1679153A (zh) 2005-10-05
TW200405518A (en) 2004-04-01
US7994031B2 (en) 2011-08-09
EP1535324A4 (en) 2008-02-27
AU2003247495A1 (en) 2004-01-19
TWI288964B (en) 2007-10-21
EP1535324B1 (en) 2012-06-06
WO2004003990A1 (en) 2004-01-08
US20070105325A1 (en) 2007-05-10
KR20050013636A (ko) 2005-02-04

Similar Documents

Publication Publication Date Title
KR100702582B1 (ko) 기판에 도핑 물질을 주입하는 방법
JP4749713B2 (ja) 水素化ホウ素クラスターイオンの注入によるイオン注入方法及び半導体製造方法
US8618514B2 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
TWI404128B (zh) 離子植入裝置及由碳硼烷聚集物離子衍生之離子植入的半導體製造方法
EP2426692A2 (en) Ion source
JP2010232668A (ja) N及びp型クラスターイオン及び陰イオンの注入によるcmos素子の製造方法
CN101308822B (zh) 通过植入n-及p-型簇离子及负离子制造cmos器件的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130312

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee