KR100487666B1 - 로드록 기구 및 처리장치 - Google Patents

로드록 기구 및 처리장치 Download PDF

Info

Publication number
KR100487666B1
KR100487666B1 KR10-2000-7002506A KR20007002506A KR100487666B1 KR 100487666 B1 KR100487666 B1 KR 100487666B1 KR 20007002506 A KR20007002506 A KR 20007002506A KR 100487666 B1 KR100487666 B1 KR 100487666B1
Authority
KR
South Korea
Prior art keywords
load lock
chamber
opening
vacuum
vacuum chamber
Prior art date
Application number
KR10-2000-7002506A
Other languages
English (en)
Other versions
KR20010023831A (ko
Inventor
사에키히로아키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20010023831A publication Critical patent/KR20010023831A/ko
Application granted granted Critical
Publication of KR100487666B1 publication Critical patent/KR100487666B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Abstract

로드록 기구(30)는 제 1 반송실(20)과 대기압의 제 2 반송실(40)의 사이에 마련된 진공실(31)을 가진다. 진공실(31)은 제 1 반송실(20)로 개구한 제 1 개구부 (31A)와, 제 2 반송실(40)로 개구한 한쌍의 수직으로 배치된 제 2 개구부(31B) 및 제 2 개구(31B)를 개폐하는 게이트밸브(34A,34B)를 가진다. 진공실(31)의 내부에는 한쌍의 수직으로 배치된 로드록실(32,33)이 설치된다. 또한 진공실(31)의 내부에는 로드록실(32,33)로 공기를 급기 및 배기하기 위한 급배기로(31G,31H)가 설치된다. 로드록실(32,33)의 각각은 제 1 개구부(31A)와 연이어지는 제 1 출입구(32A,33B) 및 제 2 개구부(31B)와 연이어지는 제 2 출입구(32B,33B)를 가진다. 제 2 개구부 (31B)가 제 2 출입구(32B,33B)와 연이어질 때에, 진공실(31)의 내부는 로드록실 (32,33)의 내부와 차단된다.

Description

로드록 기구 및 처리장치{A LOAD-LOCK MECHANISM AND PROCESSING APPARATUS}
본 발명은, 반도체 처리공정에 있어서 웨이퍼 등의 피처리체를 처리하기 위한 로드록 기구 및 처리장치에 관한 것이다.
반도체 제조공정에 있어서의 웨이퍼 처리는 현재의 6인치 혹은 8인치 반도체 웨이퍼(이하, 간단히「웨이퍼」라고 함)로부터 12인치 웨이퍼로 이행하는 경향에 있다. 이에 따라 반도체 제조장치는 12인치 웨이퍼에 대응하는 것이 개발되고 있다. 12인치 웨이퍼에 있어서는, 웨이퍼가 대구경화, 대중량화하여, 각 반도체 제조 관련장치가 점점 대형화한다.
예를 들면, 도 6은 복수의 처리를 연속적으로 행하는 멀티챔버 처리장치(이하, 간단히「처리장치」라고 함)의 일예를 나타낸 평면도이다. 이 처리장치는 도 6에 나타낸 바와 같이, 소정의 진공도로 유지되어 있다. 또한 이 장치는, 예컨대 에칭처리나 성막처리 등을 각각 개별로 행하는 복수의 처리실(1)과, 각 처리실에 대해 게이트밸브(2A)를 개재하여 연이어짐, 차단이 가능하도록 연결되고 또한 각 처리실(1)의 진공도에 알맞은 진공분위기하에서 웨이퍼(W)를 1매씩 반송하는 제 1 반송실(3)과, 제 1 반송실(3)에 대해 게이트밸브(2B)를 개재하여 연이어짐, 차단이 가능하도록 연결되고 또한 제 1 반송실(3)의 진공도에 알맞은 진공분위기를 만드는 2계열의 로드록실(4)과, 각 로드록실(4)에 대해 게이트밸브(2C)를 개재하여 연이어짐, 차단이 가능하도록 연결되고 또한 각 로드록실(4)에 대하여 대기압 분위기하에서 웨이퍼(W)를 1매씩 반송하는 제 2 반송실(5)과, 제 2 반송실(5)에 대해 게이트밸브(2D)를 개재하여 연이어짐, 차단이 가능하도록 연결되고 또한 웨이퍼(W)를 캐리어 단위로 수납하는 캐리어수납실(6)을 구비하고 있다. 그리고 제 1, 제 2 반송실(3,5)에는 각각 핸들링 아암을 가진 웨이퍼 반송장치(3A,5A)가 배설되어 있다. 각 웨이퍼 반송장치(3A,5A)의 핸들링 아암은, 웨이퍼(W)를 1매씩 반송한다. 한편 도 6에 있어서 (4A)는 웨이퍼(W)를 얹어놓는 온도조정이 가능한 얹어놓는대이다. 이 얹어놓는대(4A)는 로드록실(4)과 함께 로드록 기구를 구성하고, 웨이퍼(W)를 소정 온도로 조정한다.
그리고, 예를 들어 왼쪽 캐리어수납실(6) 내부의 웨이퍼(W)에 소정 처리를 행하는 경우에는, 게이트밸브(2D)가 열리고, 제 2 반송실(5) 내부의 웨이퍼 반송장치(5A)가 구동하여 캐리어수납실(6)의 캐리어(C)에서 웨이퍼(W) 1매를 꺼낸 후, 게이트밸브(2D)가 닫혀 캐리어수납실(6)과 제 2 반송실(5)을 차단한다. 이어서 왼쪽 로드록실(4)의 게이트밸브(2C)가 열리고, 웨이퍼 반송장치(5A)가 제 2 반송실(5)로부터 로드록실(4) 내부의 얹어놓는대(4A) 상으로 웨이퍼(W)를 옮겨 실은 후, 게이트밸브(2C)가 닫힌다. 이어서 로드록실(4)의 진공배기장치(도시하지 않음)가 구동하여 실내를 소정의 진공분위기로 한다. 로드록실(4)이 소정의 진공분위기가 되고, 웨이퍼(W)가 소정의 온도가 되면, 게이트밸브(2B)가 열리고, 제 1 반송실(3)의 웨이퍼 반송장치(3A)가 구동하여 로드록실(4) 내부의 웨이퍼(W)를 진공분위기하에서 제 1 반송실(3) 내부로 반입하고, 게이트밸브(2B)가 닫힌다. 계속해서 예를 들어 왼쪽 처리실(1)의 게이트밸브(2A)가 열리고, 웨이퍼 반송장치(3A)가 제 1 반송실 (3)로부터 처리실(1) 내로 웨이퍼(W)를 옮겨 실은 후, 게이트밸브(2A)가 닫히고, 웨이퍼(W)는 처리실(1) 내에서 소정 처리 예컨대 성막처리를 행한다. 그 동안에 다른 처리실(1) 내에서는 다른 웨이퍼(W)가 에칭처리 등의 처리를 병행하여 행해지고 있다.
그 후, 예를 들어 오른쪽 처리실(1) 내에서 웨이퍼(W)의 소정 처리가 종료한 후, 게이트밸브(2A)가 열리고, 제 1 반송실(3) 내로 처리가 끝난 웨이퍼(W)가 반입된다. 이어서 오른쪽의 이미 진공흡인되어 있는 로드록실(4)의 게이트밸브(2B)가 열리고, 웨이퍼 반송장치(3A)가 처리가 끝난 웨이퍼를 로드록실(4) 내로 옮겨 싣고, 게이트밸브(2B)가 닫힌다. 이어서 로드록실(4) 내부가 대기압으로 복귀되고, 게이트밸브(2C)가 열려, 로드록실(4) 내의 처리가 끝난 웨이퍼(W)가 제 2 반송실 (5)을 경유하여 왼쪽의 캐리어수납실(6) 내의 캐리어(C)로 복귀한다. 그 동안에 왼쪽 처리실(1)에서의 처리를 끝낸 웨이퍼(W)가, 제 1 반송실(3)의 웨이퍼 반송장치 (3A)를 통해 오른쪽 처리실(1)로 옮겨 실린다. 이 동작과 병행하여, 다음에 처리해야 할 웨이퍼(W)가 왼쪽 캐리어수납실(6) 내에서 꺼내어져, 왼쪽 로드록실(4) 내부를 경유하여 왼쪽 처리실(1)로 반입되어, 성막처리가 행해진다.
즉, 종래의 처리장치인 경우에는, 진공압측과 대기압측의 연결통로로서 2계열의 로드록실(4)이 배치되어 있으며, 처리장치의 스루풋 향상은, 각 로드록실(4)을 효율적으로 이용하여 웨이퍼의 반송효율을 높이는 것에 의해 도모하고 있다.
그러나, 파티클의 발생을 극력 억제하는 것 등을 위해서는, 제 1 반송실(3) 내부의 웨이퍼 반송장치(3A)의 구동부를 조금이라도 삭감할 필요가 있다. 따라서 종래의 처리장치인 경우, 2계열의 로드록실(4)을 병렬 배치하고 있으며, 이에 따라 웨이퍼 반송장치(3A)의 핸들링 아암은, 상하방향으로 움직이는 것이 아니라, 동일한 반송높이에서 수평방향으로만 구동한다. 이 때문에 로드록실(4)에서의 풋프린트가 넓어진다고 하는 과제가 있다. 또한 앞으로 웨이퍼가 12인치로 되면, 배선구조가 점차 다층화하고, 처리장치에서의 처리수, 즉 처리실(1)의 수가 증가하는데, 종래의 처리장치의 병렬 배치된 2계열의 로드록실(4)은, 처리실(1)의 레이아웃을 크게 제한한다고 하는 과제가 있었다.
도 1은, 본 발명의 처리장치의 일 실시형태를 나타낸 평면도,
도 2는, 도 1에 나타낸 처리장치의 Ⅱ-Ⅱ선 단면도,
도 3은, 도 1 및 도 2에 도시된 하단의 로드록실을 나타낸 확대 구성도,
도 4는, 도 1 및 도 2에 도시된 상단의 로드록실을 나타낸 확대 구성도,
도 5는, 본 발명의 처리장치의 다른 실시형태를 나타낸 평면도,
도 6은, 종래의 처리장치를 나타낸 평면도이다.
본 발명은, 상기 과제를 해결하기 위해 이루어진 것으로서, 풋프린트를 삭감할 수 있고, 나아가서는 처리실의 레이아웃의 자유도를 높일 수 있는 로드록 기구 및 처리장치를 제공하는 것을 목적으로 하고 있다.
즉 본 발명은, 진공압 영역과 대기압 영역의 사이에 배치되어, 진공압 영역측으로 개구하는 제 1 개구부와, 대기압 영역측으로 개구하는 적어도 한쌍의 제 2 개구부와, 각 제 2 개구부를 개폐하는 개폐기구를 갖는 진공실과, 진공실 내부로 이동 가능하게 수용된 적어도 한쌍의 로드록실과, 각 로드록실 내부로 공기를 급배기하는 급배기기구를 구비하며, 각 로드록실은, 제 l 개구부와 연이어 통하는 제 1 출입구와, 대응하는 제 2 개구부와 연이어 통하는 제 2 출입구와, 제 2 개구부와 제 2 출입구가 연이어 통할 때에 진공실 내부와 로드록실 내부를 차단하는 차단기구를 가지는 것을 특징으로 한다.
제 1 출입구와 제 2 출입구는, 동일한 수평면 내에 형성되어 있는 것이 바람직하다. 또한 로드록실은, 진공실 내부를 상하방향으로 이동이 가능한 것이 바람직하다. 특히 로드록실은 상하에 2개 마련되고, 진공실은 상부 및 하부에 2개의 제 2 개구부를 가지며, 각 제 2 개구부에, 각 로드록실의 제 2 출입구가 각각 연이어 통하는 것이 바람직하다.
또한, 급배기기구는, 진공실에 형성되어 로드록실의 제 2 출입구와 제 2 개구부가 연이어 통할 때에 제 1 출입구와 연이어 통하는 급배기로를 갖는 것이 바람직하다. 혹은 로드록실이 급배기구를 가지며, 급배기기구는 진공실에 형성되어 로드록실의 제 2 출입구와 제 2 개구부가 연이어 통할 때에 급배기구와 연이어 통하는 급배기로를 갖는 것이 바람직하다.
또한, 로드록실은, 실내 아랫면에, 피처리체를 얹어놓는 것이 가능하고 상하방향으로 이동하는 승강수단이 설치되어 있는 것이 바람직하다.
또한, 로드록실은, 실내 아랫면에, 실내에 수용되는 피처리체를 냉각하는 것이 가능한 냉각수단이 설치되어 있는 것이 바람직하다.
이하, 도 1 내지 도 5에 나타낸 실시형태에 의거하여 본 발명을 설명한다.
먼저, 본 실시형태의 멀티챔버 처리장치(이하, 간단히「처리장치」라고 함)는, 예를 들면 도 1에 나타낸 바와 같이, 웨이퍼(W)에 성막처리나 에칭처리 등의 처리를 연속적으로 행하는 복수(도 1에서는 4실)의 처리실(10)과, 이들 처리실(10)에 대하여 게이트밸브(도시하지 않음, 이하 동일)를 개재하여 연이어짐, 차단이 가능하도록 각각 연결된 다각형상의 제 1 반송실(20)(진공반송실)과, 제 1 반송실 (20)에 대하여 연결된 로드록 기구(30)와, 이 로드록 기구(30)의 좌우 양 벽면에 대하여 후술하는 게이트밸브를 개재하여 연이어짐, 차단이 가능하도록 연결된 제 2 반송실(40)(대기압 반송실)과, 이들 제 2 반송실(40)에 대하여 게이트밸브(51)를 개재하여 연이어짐, 차단이 가능하도록 연결된 복수(도 1에서는 4실)로 병렬 배치된 캐리어수납실(50)을 구비하고 있다. 그리고 제 1, 제 2 반송실(20,40) 내에는 각각 웨이퍼를 1매씩 반송하는 웨이퍼 반송장치(21,41)가 각각 배설되어 있다. 도 1에서 알 수 있는 바와 같이, 본 실시형태의 처리장치는, 로드록 기구(30)를 1계열만 갖고 있기 때문에, 처리장치의 풋프린트가 종래와 비교하여 삭감되고, 처리실 (10)의 레이아웃 상의 자유도가 높아져 있다. 도 2는 이 로드록 기구(30)를 주로 나타내고 있다. 도 2는 도 1의 Ⅱ-Ⅱ선 방향의 단면도이다.
여기에서, 본 실시형태의 로드록 기구(30)에 대하여 도 2를 참조하면서 이하 설명한다. 본 실시형태의 로드록 기구(30)는, 도 2에 나타낸 바와 같이, 사각형상의 진공실(31)을 구비하고, 진공실(31)을 개재하여 진공하에서 웨이퍼를 반송하는 제 1 반송실(20)과 대기압하에서 웨이퍼를 반송하는 제 2 반송실(40)을 연결하도록 하고 있다. 즉 진공실(31)의 3개의 측벽에는 제 1, 제 2 반송실(20,40)이 각각 연결되어 있다. 진공실(31) 내에는 제 1, 제 2 로드록실(32,33)이 상하 2단으로 설치되어 있다. 그리고 진공실(31)과 제 1 반송실(20)을 구획하는 측벽에는 그 상하방향의 중앙에 제 1 개구부(31A)가 형성되고, 진공실(31)과 좌우의 제 2 반송실(40)을 구획하는 좌우 양 측벽에는 그 상부 및 하부에 제 2 개구부(31B,31B)가 각각 형성되어 있다.
그리고, 제 1, 제 2 로드록실(32,33)은 모두 진공실(31) 내에서 기밀을 유지하면서 상하방향으로 이동할 수 있도록 하고 있다. 제 1, 제 2 로드록실(32,33)의 내부는 웨이퍼(W)를 얹어놓는 공간으로서 형성되어 있다. 이들 내부공간은 각각 제 1 반송실(20)쪽의 측벽에서 제 1 출입구(32A,33A)가 개구하고, 제 2 반송실(40)쪽의 좌우 양 측벽에서 제 2 출입구(32B,33B)가 각각 개구하고 있다. 아래쪽의 제 1 로드록실(32)이 하강 끝단에 위치할 때에는, 제 1 출입구(32A)가 진공실(31)의 측벽에서 폐색되는 동시에 제 2출입구(32B)가 진공실(31)의 제 2 개구부(31B)에 연이어 통한다. 또한 위쪽의 제 2 로드록실(33)이 상승 끝단에 위치할 때에는, 제 1 출입구(33A)가 진공실(31)의 측벽에서 폐색되는 동시에 제 2 출입구(33B)가 진공실 (31)의 제 2 개구부(31B)에 연이어 통한다.
또한, 진공실(31)의 상하의 제 2 개구부(31B,31B)의 외벽면에는 제 1, 제 2 게이트밸브(34A,34B)가 각각 부착되어 있다. 제 1, 제 2 로드록실(32,33)이 각각 하강 끝단 및 상승 끝단에 위치할 때에, 제 1, 제 2 로드록실(32,33)과 제 2 반송실(40)이 각 게이트밸브(34A,34B)를 통해 연이어 통하거나, 혹은 차단된다.
또한, 제 1 반송실(20) 내부의 웨이퍼 반송장치(21)는, 파티클의 발생을 피하기 위해서, 핸들링 아암을 수평면 내에서 회전 및 신장시켜 진공실(31)의 제 1 개구부(31A)를 통해 제 1, 제 2 로드록실(32,33)에 대하여 동일한 반송높이로 웨이퍼(W)를 반입 및 반출한다. 한편 제 2 반송실(40) 내의 웨이퍼 반송장치(41)는 승강구동기구 및 수평이동기구를 갖고 있다. 웨이퍼 반송장치(41)는, 상하의 제 2 개구부(31B,31B) 사이에서 승강하고, 각각의 높이의 수평면 내에서 핸들링 아암을 회전 및 신장시키고, 또한 핸들링 아암 전체를 도 1에 나타낸 바와 같이 제 2 반송실 (40)과 대향하는 각 캐리어수납실(50) 사이에서 수평이동시켜서, 각 캐리어수납실 (50)과 제 1, 제 2 로드록실(32,33)의 사이에서 웨이퍼(W)를 반송한다.
도 2에 나타낸 바와 같이, 진공실(31) 내부의 아래쪽에 위치하는 제 1 로드록실(32)은, 아랫면의 바깥둘레에 차단기구로서 플랜지부(32C)와 시일부재(35A)를 갖고 있다. 진공실(31)의 내벽면에는, 플랜지부(32C)에 대응하는 단차부(31C)가 형성되어 있다. 제 1 로드록실(32)이 하강 끝단에 위치할 때, 단차부(31C)와 플랜지부(32C)가 시일부재(35A)를 통해 기밀로 걸어맞춤하여, 제 1 로드록실(32) 내부가 진공실(31) 내부의 아래쪽 공간으로부터 차단된다.
한편, 제 1 로드록실(32)은 상단의 바깥둘레에 차단기구로서 플랜지부(32D)와 시일부재(35B)를 갖고 있다. 진공실(31)의 내벽면에는, 플랜지부(32D)에 대응하는 단차부(31D)가 형성되어 있다. 제 1 로드록실(32)이 하강 끝단에 위치할 때, 단차부(31D)와 플랜지부(32D)가 시일부재(35B)를 통해 기밀로 걸어맞춤하여, 제 1 로드록실(32) 내부가 진공실(31) 내부의 위쪽 공간으로부터도 차단된다.
시일부재(35A,35B)는, 제 1 로드록실(32)과 일체로 형성되고, 제 1 로드록실 (32)이 진공실(31) 내에서 승강할 때에 제 1 로드록실(32)과 일체적으로 이동하도록 하고 있으나, 별개로 형성되어도 좋다. 제 1 로드록실(32)의 측벽에는, 그 내부와는 연이어 통하지 않지만 위쪽 공간과 아래쪽 공간을 연이어 통하게 하는 통기로 (32H)가 형성되어 있다.
또한, 제 1 로드록실(32)의 아랫면 중앙에는 승강로드(32E)가 연결되어 있다. 이 승강로드(32E)는 제 1 로드록실(32)로부터 수직 하강하여 진공실(31)의 바닥면 중앙의 관통구멍을 관통하여, 아래쪽에 배설된 도시하지 않은 승강구동기구에 접속되어 있다. 승강로드(32E)와 관통구멍 사이에는 시일부재(35C)가 개재되어, 승강로드(32E)가 관통구멍에서 기밀을 유지하면서 승강하도록 하고 있다. 승강구동기구는, 이 승강로드(32E)를 통해 제 1 로드록실(32)을 승강조작한다. 제 1 게이트밸브(34A)가 개방될 때, 제 1 로드록실(32)은 대기압과 진공공간의 경계가 되고, 그 때의 차이압력으로 제 1 로드록실(32)이 밀어 올려진다. 따라서 그 승강구동기구에는, 밀어 올리는 힘과 대항하는 힘이 항상 부여되고 있을 필요가 있다.
제 2 로드록실(33)은 아랫면의 바깥둘레에 차단기구로서 플랜지부(33C)와 시일부재(36A)를 갖고 있다. 진공실(31)의 내벽면에는 플랜지부(33C)에 대응하는 단차부(31E)가 형성되어 있다. 제 2 로드록실(33)이 상승 끝단에 위치할 때, 단차부 (31E)와 플랜지부(33C)가 시일부재(36A)를 통해 기밀로 걸어맞춤하여, 제 2 로드록실(33) 내부가 진공실(31) 내부의 아래쪽 공간으로부터 차단된다.
또한, 제 2 로드록실(33)은 상단의 바깥둘레에 차단기구로서 플랜지부(33D)와 시일부재(36B)를 갖고 있다. 진공실(31)의 내벽면에는 플랜지부(33D)에 대응하는 단차부(31F)가 형성되어 있다. 제 2 로드록실(33)이 상승 끝단에 위치할 때, 단차부 (31F)와 플랜지부(33D)가 시일부재(36B)를 통해 기밀로 걸어맞춤하여, 제 2 로드록실 (33) 내부가 진공실(31) 내부의 위쪽 공간으로부터도 차단된다.
시일부재(36A,36B)는, 제 2 로드록실(33)과 일체로 형성되고, 제 2 로드록실 (33)이 진공실(31) 내에서 승강할 때에 제 2 로드록실(33)과 일체적으로 이동하도록 하고 있으나, 별개로 형성되어도 좋다. 제 2 로드록실(33)의 측벽에는, 그 내부와는 연이어 통하지 않지만 위쪽 부분과 아래쪽 부분을 연이어 통하게 하는 통기로 (33H)가 형성되어 있다.
또한, 제 2 로드록실(33)의 윗면 중앙에는 승강로드(33E)가 연결되어 있다. 이 승강로드(33E)는 제 2 로드록실(33)로부터 수직 위쪽으로 연이어 설치되어 진공실(31)의 윗면 중앙의 관통구멍을 관통하여, 위쪽에 배설된 도시하지 않은 승강구동기구에 접속되어 있다. 승강로드(33E)와 관통구멍 사이에는 시일부재(36C)가 개재되어, 승강로드(33E)가 관통구멍에서 기밀을 유지하면서 승강하도록 하고 있다. 승강구동기구는, 이 승강로드(33E)를 통해 제 2 로드록실(33)을 승강조작한다. 제 2 게이트밸브(34B)가 개방될 때에, 제 2 로드록실(33)은 대기압과 진공공간의 경계가 되고, 그 때의 차이 압력으로 제 2 로드록실(33)이 밀려 내려간다. 따라서 그 승강구동기구에는, 밀려 내려가는 힘과 대항하는 힘이 항상 부여되고 있을 필요가 있다.
또, 진공실(31)의 상하에는, 급배기기구로서 제 1, 제 2 급배기로 (31G,31H)가 형성되어 있으며, 제 1, 제 2 급배기로(31G,31H)는, 제 1, 제 2 로드록실 (32,33) 내부를 진공으로 하기 위해서 진공배기장치(도시하지 않음)에 연결되어 있다. 제 1 급배기로(31G)는, 예컨대 단차부(31C)와 단차부(31D)의 대략 중간에서, 제 1 로드록실(32)이 하강 끝단에 있을 때(제 2 개구부가 제 2 출입구와 연이어 통할 때)에 제 1 출입구(32A)와 대향하는 위치에 개구하고 있다. 또한 제 2 급배기로 (31H)는, 예컨대 단차부(31E)와 단차부(31F)의 대략 중간에서, 제 2 로드록실(33)이 상승 끝단에 있을 때(제 2 개구부가 제 2 출입구와 연이어 통할 때)에 제 1 출입구(33A)와 대향하는 위치에 개구하고 있다.
각 로드록실(32,33)의 측벽에 급배기구를 설치한 경우에는, 각 급배기로 (31G,31H)를, 각 로드록실의 제 2 출입구가 대응하는 제 2 개구부와 연이어 통할 때에 급배기구와 연이어 통하도록 형성하여도 좋다.
도 3, 도 4는 각각 제 1, 제 2 로드록실(32,33)를 확대하여 나타낸 구성도이다. 제 1, 제 2 로드록실(32,33)은, 각 도면에 나타낸 바와 같이, 모두 웨이퍼(W)를 얹어놓는 것이 가능하도록 상하방향으로 승강하는 승강수단을 실내의 아랫면에 가지고 있다. 또한 제 1, 제 2 로드록실(32,33)은, 웨이퍼(W)의 온도를 조절하는 온도조절기구를 구비하고 있다.
즉, 제 1 로드록실(32)은, 도 3에 나타낸 바와 같이, 하부와 상부의 사이에 웨이퍼(W)를 수납하는 내부공간을 가지고 있으며, 하부가 웨이퍼(W)의 얹어놓는부로서 형성되어 있다. 이 얹어놓는부 내에는, 승강수단으로서의 슬리핀 리프터(32F)가 배설되고, 이 슬리핀 리프터(32F)는 승강로드(32E)를 관통하는 막대부재를 통해 승강기구(도시하지 않음)에 연결되어 있다. 따라서 도 3에 나타낸 바와 같이, 슬리핀 리프터(32F)는 승강기구를 통해 실선위치에서 일점쇄선위치까지 승강한다. 상승시(일점쇄선위치)에는, 슬리핀 리프터(32F)의 상단이 얹어놓는부로부터 돌출하여 웨이퍼(W)를 수평으로 들어올리고, 하강시(실선위치)에는, 그 상단이 얹어놓는부 내로 후퇴하여 웨이퍼(W)를 얹어놓는부 상에 얹어놓는다.
또한, 도 3에서 파선으로 나타낸 바와 같이, 얹어놓는부 내에는 슬리핀 리프터(32F)와 간섭하지 않도록 온도조절기구(32G)가 배설되어 있다. 이 온도조절기구 (32G)는 얹어놓는부의 윗면 전체를 소정온도로 조절한다. 온도조절기구(32G)는 냉각기구와 가열기구로 이루어져 있다. 냉각기구는 예컨대 얹어놓는부의 윗면 근방을 구불구불 흐르는 냉매통로와, 이 냉매통로에 냉매를 순환시키는 냉매공급기구를 구비하며, 냉매공급기구를 통해 냉매가 냉매통로를 순환하는 동안에 웨이퍼(W) 전체면이 균등하게 냉각되도록 하고 있다. 또한 가열기구는, 예를 들어 얹어놓는부의 윗면 근방에 배설된 면히터 또는 얹어놓는부의 윗면 근방을 구불구불 흐르는 코일히터 등을 구비하며, 면히터 또는 코일히터에 의해 웨이퍼(W) 전체면이 균등하게 가열되도록 하고 있다.
제 2 로드록실(33)은, 도 4에 나타낸 바와 같이, 하부와 상부의 사이에 웨이퍼(W)를 수납하는 내부공간을 가지고 있으며, 하부가 웨이퍼(W)의 얹어놓는부로서 형성되어 있다. 이 얹어놓는부 내에는 승강수단으로서의 슬리핀 리프터(33F)가 배설되고, 이 슬리핀 리프터(33F)는 제 2 로드록실(33)의 상부를 경유하여 승강로드 (33E)를 관통하는 막대부재를 통해 승강기구(도시하지 않음)에 연결되어 있다. 따라서 도 4에 나타낸 바와 같이, 슬리핀 리프터(33F)는 승강기구를 통해 실선위치에서 일점쇄선위치까지 승강한다. 상승시(일점쇄선위치)에는, 슬리핀 리프터(33F)의 상단이 얹어놓는부에서 돌출하여 웨이퍼(W)를 수평으로 들어 올리고, 하강시(실선위치)에는, 그 상단이 얹어놓는부 내로 후퇴하여 웨이퍼(W)를 얹어놓는부 상에 얹어놓는다.
또한, 도 4에서 파선으로 나타낸 바와 같이, 얹어놓는부 내에는 슬리핀 리프터(33F)와 간섭하지 않도록 온도조절기구(33G)가 배설되어 있다. 이 온도조절기구 (33G)는, 얹어놓는부의 윗면 전체를 소정온도로 조절한다. 온도조절기구(33G)는 상술한 온도조절기구(32G)와 마찬가지로, 냉각기구 및 가열기구로 이루어져 있다.
다음에, 도 1 내지 도 4를 참조하면서 처리장치의 동작에 대하여 설명한다. 먼저 처리장치에서 처리해야 할 소정매수의 웨이퍼(W)를 수용한 캐리어가, 처리장치 정면에 배열된 4개소의 캐리어수납실(50)에 수납된다. 그 후 처리장치가 콘트롤러의 제어하에서 구동하여, 도 1의 왼쪽 끝단의 게이트밸브(51)가 열리고, 제 2 반송실(40) 내의 웨이퍼 반송장치(41)가 그 게이트밸브(51)의 앞까지 이동한다. 이어서 웨이퍼 반송장치(41)가 구동하여 핸들링 아암을 통해 캐리어 내의 웨이퍼 1매를 취출해낸다. 그 후 게이트밸브(51)가 닫히고, 웨이퍼반송장치(41)가 로드록 기구 (30)의 측면에 접근한다. 이와 병행하여, 진공배기장치가 제 1 로드록실(32)에 대하여 작동하지 않는 상태에서, 로드록 기구(30)의 아래쪽의 제 1 게이트밸브(34A)가 열리고, 진공실(31)의 제 2 개구부(31B)를 통해 제 1 로드록실(32)의 제 2 출입구(32B)를 대기압의 제 2 반송실(40)로 연이어 통한다.
이어서, 웨이퍼 반송장치(41)가 구동하여 핸들링 아암을 통해 웨이퍼를 제 1 로드록실(32)내의 얹어놓는 면 중앙으로 반송한다. 다음에 도 3에 나타낸 바와 같이, 슬리핀 리프터(32F)가 실선위치로부터 일점쇄선위치까지 상승하여, 웨이퍼반송장치(41)로부터 웨이퍼(W)가 들어 올려진다. 다음에 웨이퍼 반송장치(41)의 핸들링 아암이 제 1 로드록실(32)로부터 후퇴하고, 제 1 게이트 밸브(34A)가 닫혀, 제 1 로드록실(32)과 대기압측의 제 2 반송실(40)이 차단된다. 이와 병행하여, 제 1 로드록실(32) 내에서는 슬리핀 리프터(32F)가 하강하여 웨이퍼(W)가 얹어놓는부 상에 놓여진다. 얹어놓는부의 윗면은, 온도조절기구(32G)를 통해 소정 온도로 조절되어 있으며, 얹어놓는부 상의 웨이퍼(W)의 온도는 소정온도로 조절된다. 한편 제 1 반송실(20) 및 진공실(31)은 진공흡인되어 소정의 진공도에 도달하고 있다.
제 1 게이트밸브(34A)가 닫히고 제 1 로드록실(32) 내부가 제 2 반송실(40)의 대기압측으로부터 차단된 상태에서, 진공배기장치가 제 1 로드록실(32)에 대하여 작동을 시작하고, 제 1 로드록실(32) 내부가 진공실(31)의 급배기로(31G)를 통해 진공된다. 제 1 로드록실(32) 내부가 소정의 진공도에 도달하면, 승강로드(32E)에 의해서 제 1 로드록실(32)이 진공실(31) 내부에서 기밀상태(내부의 진공도)를 유지하면서 도 2의 실선위치에서 일점쇄선위치까지 상승한다. 이 상승에 의해, 제 1 로드록실(32)의 진공공간과 제 1 반송실(20)의 진공실 사이가 연이어 통하여, 상승 끝단에서 제 1 로드록실(32)의 제 1 출입구(32A)가 진공실(31)의 제 1 개구부 (31A)와 일치한다.
이어서, 제 1 반송실(20)의 웨이퍼 반송장치(21)가 핸들링 아암을 통해 제 1 로드록실(32)로부터 웨이퍼(W)를 꺼내어, 소정의 처리실(10)로 웨이퍼(W)를 옮겨 싣는다. 처리실(10)은 웨이퍼(W)에 대하여 소정의 처리 예컨대 성막처리를 실시한다. 이 처리의 사이에, 승강로드(32E)에 의해 제 1 로드록실(32)이 하강하고, 하강 끝단에서 제 1 출입구(32A)가 진공실(31)의 진공공간으로부터 차단됨과 동시에, 제 2 출입구(32B)와 진공실(31)의 제 2 개구부(31B)가 일치한다. 이어서 공기가 제 1 로드록실(32)의 내부에 급배기로(31G)를 통해 공급되어, 제 1 로드록실(32) 내부는 대기압으로 복귀한다. 이 때, 대기압에 의해 로드록실(32)을 진공실(31)의 중앙 즉 위쪽으로 누르는 큰 힘이 작용하는데, 통기로(32H)에 의해 로드록실(32)의 아래쪽 진공실(31) 부분도 항상 진공실(31) 중앙과 같은 압력으로 유지되어 있기 때문에, 아래쪽으로 누르는 힘도 작용하여, 전체적으로 로드록실(32)이 위쪽으로 밀리는 힘을 약하게 할 수 있다. 이어서 제 1 게이트밸브(34A)가 개방하여, 상술한 동작이 반복되고, 다음의 웨이퍼(W)가 제 2 반송실(40)로부터 처리실(10)로 반송된다.
한편, 처리실(10)에서 성막처리가 종료되면, 제 1 반송실(20)의 웨이퍼 반송장치(21)가 구동하여, 핸들링 아암이 처리실(10)로부터 처리가 끝난 웨이퍼(W)를 취출하여, 다음 처리실(10)로 옮겨 싣는다. 예컨대 다음의 처리실(10)은 에칭처리를 행한다. 이어서 웨이퍼 반송장치(21)가 이미 대기하고 있는 다음의 웨이퍼(W)를 제 1 로드록실(32)로부터 비어 있는 성막용 처리실(10)로 옮겨 싣고, 성막용의 처리실(10)은, 웨이퍼(W)에 성막처리를 행한다. 이렇게 하여 각 처리실(10) 내부로 웨이퍼(W)가 차례로 공급되어, 각 처리실(10)은 각각의 처리를 연속적으로 행한다.
복수의 각 처리실(10)에서의 웨이퍼(W)에 대한 복수종류의 처리가 모두 종료하면, 로드록 기구(30)에서는, 이미 진공으로 된 제 2 로드록실(33)이 승강로드 (33E)를 통해 진공실(31) 내에서 기밀상태(내부의 진공도)를 유지하면서 하강한다. 하강 끝단에 도달하면, 제 2 로드록실(33)의 제 1 출입구(33A)가 진공실(31)의 제 1 개구부(31A)와 일치하여, 제 2 로드록실(33)이 제 1 반송실(20)로 연이어 통한다. 이 때 제 1 반송실(20)의 웨이퍼 반송장치(21)가, 제 1 로드록실(32)로부터 웨이퍼(W)를 반출하는 경우와 동일한 높이에서, 핸들링 아암을 통해 처리가 끝난 웨이퍼(W)를 처리실(10)로부터 제 2 로드록실(33) 내부로 옮겨 싣는다.
제 2 로드록실(33)에서는, 슬리핀 리프터(33F)가 상승위치에서 웨이퍼(W)를 받아들인 후, 슬리핀 리프터(33F)가 하강하여 얹어놓는부 내로 후퇴하여, 웨이퍼 (W)를 얹어놓는부 상에 얹어놓는다. 한편 냉각 등에 의해 웨이퍼(W)의 온도는 상온으로 복귀된다. 그 동안에 제 2 로드록실(33)은 승강로드(33E)를 통해 상승한다. 상승 끝단에 도달하면, 제 1 출입구(33A)가 진공공간으로부터 차단됨과 동시에 제 2 출입구(33B)가 진공실(31)의 제 2 개구부(31B)와 일치한다. 이어서 공기가 제 2 로드록실(33)의 내부에 급배기로(31H)를 사용하여 공급되어, 제 2 로드록실(33) 내부는 대기압으로 복귀된다. 이 때, 대기압에 의해 로드록실(33)을 진공실(31)의 중앙 즉 아래쪽으로 누르는 큰 힘이 작용하는데, 통기로(33H)에 의해 로드록실(33)의 위쪽 진공실(31) 부분도 항상 진공실(31) 중앙과 같은 압력으로 유지되어 있기 때문에, 위쪽으로 누르는 힘도 작용하여, 전체적으로 로드록실(33)이 아래쪽으로 밀리는 힘을 약하게 할 수 있다. 이 때 슬리핀 리프터(33F)가 상승하여, 웨이퍼(W)를 넘겨줄 수 있는 상태가 된다. 이 후 제 2 게이트밸브(34B)가 개방되고, 제 2 반송실 (40)의 웨이퍼 반송장치(41)가 구동하여 핸들링 아암이 제 2 로드록실(33) 내부의 처리가 끝난 웨이퍼(W)를 캐리어수납실(50) 내부의 캐리어의 원래 위치에 옮겨 싣는다. 이에 따라 웨이퍼(W)의 일련의 처리를 종료한다.
다른 캐리어수납실(50) 내부에 수납된 웨이퍼(W)에 대해서도, 동일한 순서로 소정의 처리를 연속적으로 행할 수 있다. 한편 웨이퍼(W)가 제 2 로드록실(33) 내부로 반입되었을 때, 웨이퍼(W)의 온도조정이 불필요한 경우에는, 슬리핀 리프터 (33F)가 상승위치를 유지한 상태이더라도 좋다.
이상 설명한 바와 같이, 본 실시형태에 의하면, 로드록 기구(30)는, 진공실 (31)과, 이 진공실(31) 내에서 상하로 이동하는 상하 2단의 제 1, 제 2 로드록실 (32,33)을 구비하며, 제 1 반송실(20)로부터 1개소의 제 1 개구부(31A)를 통해 동일 높이에서 웨이퍼(W)를 반입 및 반출할 수 있기 때문에, 로드록 기구(30)의 풋프린트를 종래의 거의 반으로 삭감할 수 있고, 더구나 제 1 반송실(20)의 웨이퍼 반송장치(21)의 구조를 바꾸지 않고 제 1, 제 2 로드록실(32,33)에 대하여 웨이퍼의 반입 및 반출을 행할 수 있다. 따라서 본 실시형태의 로드록 기구(30)를 처리장치에 적용하면, 처리장치 자체의 풋프린트를 삭감할 수 있을 뿐만 아니라, 처리실 (10)의 레이아웃의 자유도를 더욱 높일 수 있다.
또한, 제 1, 제 2 로드록실(32,33)의 각각이, 진공실(31)의 각 급배기로 (31G,31H)에 대응하고 있기 때문에, 제 1, 제 2 로드록실(32,33)이 같은 진공실 (31) 내에 배설되더라도 각각의 실내를 개별적으로 급배기할 수 있다. 따라서 제 1, 제 2 로드록실(32,33)을 각각 웨이퍼(W)의 반입전용 혹은 반출전용으로 나누어 사용할 수 있다. 물론 각각을 반입과 반출의 양쪽에 사용할 수도 있다.
또, 제 1, 제 2 로드록실(32,33)은, 웨이퍼(W)를 승강시키는 슬리핀 리프터 (32F,33F)를 가지기 때문에, 제 1, 제 2 로드록실(32,33)에서의 웨이퍼(W)의 반입 및 반출을 원활하게 행할 수 있다.
또한, 제 1, 제 2 로드록실(32,33)은, 웨이퍼(W)의 온도를 조절하는 온도조절기구(32G,33G)를 가지기 때문에, 웨이퍼(W)의 반입 및 반출시에 웨이퍼(W)를 소정의 온도로 냉각하거나, 가열할 수 있다.
또한, 도 5는 본 발명의 다른 실시형태의 처리장치를 나타낸 평면도이다. 본 실시형태의 처리장치는, 도 5에 나타낸 바와 같이, 제 1 반송실(20A)에 상기 실시형태의 처리장치보다도 많은 처리실(10A)이 연결되어 있다. 도 5에 나타낸 바와 같이, 처리실(10A)은 로드록 기구(30A)의 좌우 양측면까지 연이어져 있기 때문에, 유일한 제 2 반송실(40A)이 로드록 기구(30A)의 정면쪽에 배치되어 있다. 다층화한 배선구조는, 보다 효율적으로 제작, 배치되어 있다. 그 이외의 구성은, 상기 실시형태에 준하여 구성되어 있다.
본 실시형태의 처리장치는, 풋프린트가 상기 실시형태의 경우보다 다소 커져있으나, 상기 실시형태의 경우보다도 처리실(10A)의 수가 증가한 만큼 보다 많은 다른 처리를 연속적으로 행할 수 있다.
한편, 각 로드록실(32,33)은, 2개 이상의 내부공간을 가지며, 실질적으로 복수의 로드록실을 제공하도록 되어 있어도 좋다. 예를 들면 도 3에 나타낸 로드 (32E)에 의해 지지된 제 1 로드록실(32)에, 웨이퍼(W)를 수용하는 내부공간이 수평으로 병렬로 둘 이상 형성되어도 좋다. 마찬가지로, 도 4에 나타낸 로드(33E)에 의해 지지된 제 2 로드록실(33)에, 웨이퍼(W)를 수용하는 내부공간이 수평으로 병렬로 둘 이상 형성되어도 좋다.그리고, 상하 한쌍의 로드록실(32,33)이 하나의 진공실 내부에 2쌍 이상 배치되어도 좋다.또한, 취급하는 피처리체는, 웨이퍼에 한정되지 않고 유리기판 등이라도 좋다.

Claims (32)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 진공압 영역과 대기압 영역의 사이에 배치되고, 진공압 영역측으로 개구하는 제 1 개구부와, 대기압 영역측으로 개구하는 상부 및 하부의 적어도 한쌍의 제 2 개구부와, 각 제 2 개구부를 개폐하는 개폐기구를 가지는 진공실과;
    진공실 내부의 상하에 배치되어, 각각 진공실 내부를 상하방향으로 이동 가능하게 수용된 적어도 한 쌍의 로드록실과;
    각 로드록실 안팎으로 공기를 급배기하는 급배기기구를 구비하며;
    상기 각 로드록실은, 제 1 개구부와 연이어 통하는 것이 가능한 제 1 출입구와, 대응하는 제 2 개구부와 연이어 통하는 것이 가능한 제 2 출입구와, 제 2 개구부와 제 2 출입구가 연이어 통할 때에 진공실 내부로부터 로드록실 내부를 차단하는 차단기구를 가지며,
    상기 한 쌍의 로드록실 중 진공실 내부의 아래쪽에 위치하는 로드록실은, 아랫면의 바깥둘레 및 상단의 바깥둘레에, 각각 차단기구로서 플랜지부를 가지고 있으며,
    진공실의 내벽면에는, 각 플랜지부에 대응하는 단차부가 형성되어 있고,
    아랫면의 플랜지부는, 상단의 플랜지부보다 작은 직경으로서, 상단의 플랜지부에 대응하는 단차부의 안쪽을 통과할 수 있도록 되어 있으며,
    상기 한 쌍의 로드록실 중 진공실 내부의 위쪽에 위치하는 로드록실은, 윗면의 바깥둘레 및 하단의 바깥둘레에, 각각 차단기구로서 플랜지부를 가지고 있고,
    진공실의 내벽면에는, 각 플랜지부에 대응하는 단차부가 형성되어 있으며,
    윗면의 플랜지부는, 하단의 플랜지부보다 작은 직경으로서, 하단의 플랜지부에 대응하는 단차부의 안쪽을 통과할 수 있도록 되어 있는 것을 특징으로 하는 로드록 기구.
  22. 제 21 항에 있어서, 상기 급배기기구는, 진공실에 형성되어 제 2 출입구와 제 2 개구부가 연이어 통할 때에 제 1 출입구와 연이어 통하는 급배기로를 가지는 것을 특징으로 하는 로드록 기구.
  23. 제 21 항에 있어서, 상기 로드록실은 급배기구를 가지며, 상기 급배기기구는 진공실에 형성되어 제 2 출입구와 제 2 개구부가 연이어 통할 때에 급배기구와 연이어 통하는 급배기로를 가지는 것을 특징으로 하는 로드록 기구.
  24. 진공압 영역으로서의 진공반송실과;
    대기압 영역으로서의 대기압반송실과;
    진공반송실과 대기압반송실의 사이에 배치되어, 진공반송실측으로 개구하는 제 1 개구부와, 대기압반송실측으로 개구하는 상부 및 하부의 적어도 한쌍의 제 2 개구부와, 각 제 2 개구부를 개폐하는 개폐기구를 가지는 진공실과;
    진공실 내부의 상하에 배치되어, 각각 진공실 내부를 상하방향으로 이동 가능하게 수용된 적어도 한 쌍의 로드록실과;
    각 로드록실 안팎으로 공기를 급배기하는 급배기기구를 구비하며;
    상기 각 로드록실은, 제 1 개구부와 연이어 통하는 것이 가능한 제 1 출입구와, 대응하는 제 2 개구부와 연이어 통하는 것이 가능한 제 2 출입구와, 제 2 개구부와 제 2 출입구가 연이어 통할 때에 진공실 내부로부터 로드록실 내부를 차단하는 차단기구를 가지며,
    상기 한 쌍의 로드록실 중 진공실 내부의 아래쪽에 위치하는 로드록실은, 아랫면의 바깥둘레 및 상단의 바깥둘레에, 각각 차단기구로서 플랜지부를 가지고 있으며,
    진공실의 내벽면에는, 각 플랜지부에 대응하는 단차부가 형성되어 있고,
    아랫면의 플랜지부는, 상단의 플랜지부보다 작은 직경으로서, 상단의 플랜지부에 대응하는 단차부의 안쪽을 통과할 수 있도록 되어 있으며,
    상기 한 쌍의 로드록실 중 진공실 내부의 위쪽에 위치하는 로드록실은, 윗면의 바깥둘레 및 하단의 바깥둘레에, 각각 차단기구로서 플랜지부를 가지고 있고,
    진공실의 내벽면에는, 각 플랜지부에 대응하는 단차부가 형성되어 있으며,
    윗면의 플랜지부는, 하단의 플랜지부보다 작은 직경으로서, 하단의 플랜지부에 대응하는 단차부의 안쪽을 통과할 수 있도록 되어 있는 것을 특징으로 하는 로드록 장치.
  25. 진공압 영역과 대기압 영역의 사이에 배치되고, 진공압 영역측으로 개구하는 제 1 개구부와, 대기압 영역측으로 개구하는 상부 및 하부의 적어도 한쌍의 제 2 개구부와, 각 제 2 개구부를 개폐하는 개폐기구를 가지는 진공실과;
    진공실 내부의 상하에 배치되어, 각각 진공실 내부를 상하방향으로 이동 가능하게 수용된 적어도 한 쌍의 로드록실과;
    각 로드록실 안팎으로 공기를 급배기하는 급배기기구를 구비하며;
    상기 각 로드록실은, 제 1 개구부와 연이어 통하는 것이 가능한 제 1 출입구와, 대응하는 제 2 개구부와 연이어 통하는 것이 가능한 제 2 출입구와, 제 2 개구부와 제 2 출입구가 연이어 통할 때에 진공실 내부로부터 로드록실 내부를 차단하는 차단기구를 가지며,
    오직 하나의 웨이퍼만을 수납하기에 적합한 것을 특징으로 하는 로드록 기구.
  26. 제 25 항에 있어서, 상기 로드록실은, 실내 아랫면에, 피처리체를 얹어놓고 상하방향으로 이동하는 것이 가능한 승강수단이 설치되어 있는 것을 특징으로 하는 로드록 기구.
  27. 제 25 항에 있어서, 상기 제 1 출입구와 상기 제 2 출입구는, 동일한 수평면 내에 형성되어 있는 것을 특징으로 하는 로드록 기구.
  28. 제 25 항에 있어서, 상기 급배기기구는, 진공실에 형성되어 제 2 개구부와 제 2 출입구가 연이어 통할 때에 제 1 개구부와 연이어 통하는 급배기로를 가지는 것을 특징으로 하는 로드록 기구.
  29. 제 25 항에 있어서, 상기 로드록실은 급배기구를 가지며, 상기 급배기기구는 진공실에 형성되어 제 2 개구부와 제 2 출입구가 연이어 통할 때에 급배기구와 연이어 통하는 급배기로를 가지는 것을 특징으로 하는 로드록 기구.
  30. 제 25 항에 있어서, 상기 로드록실은, 실내 아랫면에, 실내에 수용되는 피처리체를 냉각하기 위한 냉각기구가 설치되어 있는 것을 특징으로 하는 로드록 기구.
  31. 제 25 항에 있어서, 상기 로드록실은, 실내 아랫면에, 실내에 수용되는 피처리체를 가열하기 위한 가열기구가 설치되어 있는 것을 특징으로 하는 로드록 기구.
  32. 진공압 영역으로서의 진공반송실과;
    대기압 영역으로서의 대기압반송실과;
    진공반송실과 대기압반송실의 사이에 배치되어, 진공반송실측으로 개구하는 제 1 개구부와, 대기압반송실측으로 개구하는 상부 및 하부의 적어도 한쌍의 제 2 개구부와, 각 제 2 개구부를 개폐하는 개폐기구를 가지는 진공실과;
    진공실 내부의 상하에 배치되어, 각각 진공실 내부를 상하방향으로 이동 가능하게 수용된 적어도 한 쌍의 로드록실과;
    각 로드록실 안팎으로 공기를 급배기하는 급배기기구를 구비하며;
    상기 각 로드록실은, 제 1 개구부와 연이어 통하는 것이 가능한 제 1 출입구와, 대응하는 제 2 개구부와 연이어 통하는 것이 가능한 제 2 출입구와, 제 2 개구부와 제 2 출입구가 연이어 통할 때에 진공실 내부로부터 로드록실 내부를 차단하는 차단기구를 가지며,
    오직 하나의 웨이퍼만을 수납하기에 적합한 것을 특징으로 하는 처리장치.
KR10-2000-7002506A 1997-09-10 1998-09-10 로드록 기구 및 처리장치 KR100487666B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP262679 1997-09-10
JP26267997A JP4048387B2 (ja) 1997-09-10 1997-09-10 ロードロック機構及び処理装置
PCT/JP1998/004084 WO1999013504A1 (fr) 1997-09-10 1998-09-10 Mecanisme de sas et appareil de traitement

Publications (2)

Publication Number Publication Date
KR20010023831A KR20010023831A (ko) 2001-03-26
KR100487666B1 true KR100487666B1 (ko) 2005-05-03

Family

ID=17379092

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7002506A KR100487666B1 (ko) 1997-09-10 1998-09-10 로드록 기구 및 처리장치

Country Status (5)

Country Link
US (1) US6338626B1 (ko)
JP (1) JP4048387B2 (ko)
KR (1) KR100487666B1 (ko)
TW (1) TW396386B (ko)
WO (1) WO1999013504A1 (ko)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6244811B1 (en) * 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6364762B1 (en) 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
CN1440564A (zh) * 2000-07-07 2003-09-03 应用材料有限公司 锁料室
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6701972B2 (en) 2002-01-11 2004-03-09 The Boc Group, Inc. Vacuum load lock, system including vacuum load lock, and associated methods
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4648190B2 (ja) * 2003-03-28 2011-03-09 平田機工株式会社 基板搬送システム
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
WO2005086207A1 (de) * 2004-03-02 2005-09-15 Asys Automatic Systems Gmbh & Co. Kg Übergabeeinrichtung in handhabungs- und/oder bearbeitungszentren
JP4280249B2 (ja) * 2004-06-02 2009-06-17 アプライド マテリアルズ インコーポレイテッド チャンバをシールするための方法及び装置
US8648977B2 (en) 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US7088294B2 (en) * 2004-06-02 2006-08-08 Research In Motion Limited Mobile wireless communications device comprising a top-mounted auxiliary input/output device and a bottom-mounted antenna
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070233313A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Transfer pick, transfer device, substrate processing apparatus and transfer pick cleaning method
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
JP4635972B2 (ja) * 2006-06-29 2011-02-23 株式会社ニコン ロードロック装置、それを使用した方法及びウエハ接合システム
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
JP2008075135A (ja) * 2006-09-21 2008-04-03 Nippon Dempa Kogyo Co Ltd 真空処理装置および大気開放方法
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10541157B2 (en) * 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
KR100887161B1 (ko) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP4583458B2 (ja) * 2008-01-31 2010-11-17 坂口電熱株式会社 ロードロックチャンバー
JP5106331B2 (ja) 2008-09-16 2012-12-26 東京エレクトロン株式会社 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム
JP4920667B2 (ja) * 2008-12-03 2012-04-18 アドヴァンスド・ディスプレイ・プロセス・エンジニアリング・コーポレーション・リミテッド 基板処理装置
US8393502B2 (en) * 2010-07-22 2013-03-12 Usc, L.L.C. Seed metering gate assembly
TWI514089B (zh) * 2011-04-28 2015-12-21 Mapper Lithography Ip Bv 在微影系統中用於轉移基板的設備
CN103137509B (zh) * 2011-12-02 2016-02-03 上海微电子装备有限公司 用于晶圆键合的装置及晶圆键合方法
JP6024372B2 (ja) * 2012-10-12 2016-11-16 Tdk株式会社 基板処理装置および基板処理チャンバモジュール
JP6016584B2 (ja) * 2012-11-08 2016-10-26 東京エレクトロン株式会社 ロードロック装置
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
JP6454201B2 (ja) * 2015-03-26 2019-01-16 東京エレクトロン株式会社 基板搬送方法及び基板処理装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10082104B2 (en) 2016-12-30 2018-09-25 X Development Llc Atmospheric storage and transfer of thermal energy
US20180254203A1 (en) * 2017-03-02 2018-09-06 Applied Materials, Inc. Apparatus and method to reduce particle formation on substrates in post selective etch process
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
KR20210014496A (ko) 2019-07-30 2021-02-09 삼성전자주식회사 반도체 제조 장치
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
TW202126856A (zh) 2019-09-22 2021-07-16 美商應用材料股份有限公司 多晶圓體積單移送腔室刻面
JP7402658B2 (ja) * 2019-11-01 2023-12-21 東京エレクトロン株式会社 基板収容ユニット及び基板搬送装置における真空搬送ユニットのメンテナンス方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2600399B2 (ja) * 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JP3350107B2 (ja) 1992-09-17 2002-11-25 株式会社日立製作所 枚葉式真空処理装置
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
JP3335831B2 (ja) * 1996-01-29 2002-10-21 株式会社日立製作所 真空処理装置
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system

Also Published As

Publication number Publication date
TW396386B (en) 2000-07-01
JPH1187467A (ja) 1999-03-30
US6338626B1 (en) 2002-01-15
KR20010023831A (ko) 2001-03-26
JP4048387B2 (ja) 2008-02-20
WO1999013504A1 (fr) 1999-03-18

Similar Documents

Publication Publication Date Title
KR100487666B1 (ko) 로드록 기구 및 처리장치
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
KR101624152B1 (ko) 로드락 디자인 및 로드락을 사용하기 위한 방법
JP3447698B2 (ja) 2ウエハ・ロードロック・ウエハ処理装置ならびにその装填および排出方法
KR100591025B1 (ko) 웨이퍼 이송 방법 및 그 제조 방법과 고 진공 웨이퍼 처리장치
CN108695207B (zh) 基片处理装置
WO2009107664A1 (ja) ロードロック装置および基板冷却方法
US20030077150A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
WO2018016257A1 (ja) 基板処理装置
KR101883032B1 (ko) 기판 열 처리 장치, 기판 열 처리 장치의 설치 방법
JP3522796B2 (ja) 半導体製造装置
KR100790789B1 (ko) 반도체 공정장치
KR100985723B1 (ko) 멀티 챔버 방식의 기판 처리 장치 및 방법
JP3599322B2 (ja) 基板処理装置及び基板処理方法
JP7442349B2 (ja) 基板搬送システムおよびロードロックモジュール
KR101150772B1 (ko) 반도체 열처리방법 및 반도체 열처리장치
KR20080067790A (ko) 로드락 챔버 직결식 로드포트
US20240103376A1 (en) Bake unit, operation method thereof, and photo spinner equipment having the bake unit
CN111834252B (zh) 基板处理装置
JPS63153270A (ja) 真空槽内における基板交換装置
KR100364089B1 (ko) 진공 버퍼 챔버를 구비한 핫플레이트 장치
KR101725894B1 (ko) 로드락 챔버
KR20230099544A (ko) 기판 처리 방법 및 기판 처리 장치
KR20040003477A (ko) 반도체소자 제조용 멀티챔버 설비
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140401

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 14

EXPY Expiration of term