KR100386193B1 - 열처리장치 - Google Patents

열처리장치 Download PDF

Info

Publication number
KR100386193B1
KR100386193B1 KR1019960005262A KR19960005262A KR100386193B1 KR 100386193 B1 KR100386193 B1 KR 100386193B1 KR 1019960005262 A KR1019960005262 A KR 1019960005262A KR 19960005262 A KR19960005262 A KR 19960005262A KR 100386193 B1 KR100386193 B1 KR 100386193B1
Authority
KR
South Korea
Prior art keywords
substrate
support
supporting
wafer
heat treatment
Prior art date
Application number
KR1019960005262A
Other languages
English (en)
Other versions
KR960035893A (ko
Inventor
도모히사 시마즈
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR960035893A publication Critical patent/KR960035893A/ko
Application granted granted Critical
Publication of KR100386193B1 publication Critical patent/KR100386193B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Charging Or Discharging (AREA)

Abstract

본 발명에 따르면, 열처리장치의 열처리실내에 피처리기판을 수평으로 지지하는 기판지지장치가 설치되어 있다. 기판지지장치는 환상 기저부와, 이 환상 기저부상에 설치되어 피처리기판의 하면을 지지하는 복수개의 고정지지점을 갖추고 있다. 환상 기저부에는 빔부가 돌출하여 설치되고, 빔부에 피처리기판의 자체의 무게에 의한 휨부분을 탄성지지하는 탄성지지점이 설치되어 있다. 탄성지지점에 의해 피처리기판 지지시의 자체의 무게에 의한 휨이 교정되기 때문에, 피처리기판의 응력을 감소 내지 제거하는 것이 가능하게 되고, 고온열처리시의 피처리기판의 슬립을 방지할 수 있으며, 수율의 향상을 도모할 수 있다.

Description

열처리장치
[기술분야]
본 발명은 피처리기판을 수평방향으로 지지하는 기판지지장치를 갖춘 열처리장치에 관한 것이다.
[배경기술]
반도체 디바이스의 제조에 있어서는, 피처리기판인 반도체 웨이퍼를 산화, 확산, CVD(Chemical Vapor Deposition), 가열 등의 처리를 행하기 위하여 각종의열처리장치가 사용되고 있다. 그리고, 상기 열처리장치는 열처리실내에 웨이퍼를 수평으로 지지하는 수단으로서, 예컨대 래더 보트(ladder boat), 링 보트(ring boat) 등으로 불리는 기판지지장치를 구비하고 있다.
그중 래더보트는 웨이퍼의 주위를 둘러 싸듯이 배치된 복수개(3∼4개)의 지주(支柱)를 갖추고, 이들 지주에 웨이퍼를 상하방향으로 적당한 간격으로 다단으로 지지하기 위한 凹형상 내지 凸형상의 계지부(係止部)를 설치한 것이다. 또, 링보트는 상기 래더보트에서의 계지부 대신에 웨이퍼의 주연(周緣)영역 하면을 지지하기 위한 링을 설치한 것이다. 지주 및 링의 재료로서는, 내열성을 갖고, 또한 오염원으로 되지 않는 재료 예컨대 석영이 사용되고 있다.
그렇지만, 상기 열처리장치에 있어서, 기판지지장치가 주로 웨이퍼의 주연 영역 하면을 지지하도록 되어 있기 때문에, 웨이퍼의 중앙영역이 자체의 무게로 아래쪽으로 휘기 쉽고, 이 휨에 의한 응력으로 특히 고온처리시에 웨이퍼에 슬립(결정의 왜곡)이 발생하기 쉬워 어쩔수 없이 수율을 저하시키는 문제가 있었다. 이러한 문제는, 특히 웨이퍼의 구경(직경)이, 예컨대 12인치로 커질수록 커다란 문제로 될 수 있다. 또한, 이러한 문제를 해소하기 위한 대책으로서는, 예컨대 다수의 지지점에서 웨이퍼를 면내 균일하게 지지하도록 하는 것 또는 면형상의 지지면에서 웨이퍼를 면내 균일하게 지지하도록 하는 것을 생각할 수 있지만, 다수의 지지점 또는 면형상의 지지면을 정밀도 좋게 균일하게 가공하는 것은 곤란하다.
[발명의 개시]
그래서, 본 발명은 상기 과제를 해결하기 위해 이루어진 것으로, 피처리기판의 응력을 감소 내지 제거할 수 있고, 고온열처리시의 피처리기판의 슬립을 방지하는 것이 가능하며, 수율의 향상을 도모할 수 있는 열처리장치를 제공하는 것을 목적으로 하는 것이다.
본 발명의 제1 특징은, 피처리기판을 수평방향으로 지지하는 기판지지장치를 갖춘 열처리장치에 있어서, 상기 기판지지장치가 피처리기판의 저면을 견고하게 지지하는 고정지지부와, 피처리기판의 저면을 탄성적으로 지지하는 탄성 지지부를 구비한 것을 특징으로 하는 열처리장치이다.
본 발명의 제2 특징은, 상기 고정지지부가 수직방향으로 배치된 복수의 지주와, 이 복수의 지주에 수평방향으로 탑재되어 피처리기판의 주연영역에 대응하여 설치된 환상(環狀) 기저부(base)와, 이 환상 기저부상에 설치된 피처리기판의 주연영역 하면을 지지하는 복수의 고정지지점을 갖추고 있고, 상기 탄성지지부가 환상 기저부에 장치되어 환상 기저부의 안쪽으로 연장된 빔부(beam portion)와, 이 빔부상에 설치되어 피처리기판의 중앙영역 하면을 지지하는 탄성지지점을 갖춘 것을 특징으로 하는 열처리장치이다.
본 발명의 제3 특징은, 상기 고정지지부가 수직방향으로 배치된 복수의 지주와, 이 복수의 지주에 형성된 피처리기판의 주연영역 하면을 지지하는 계지부로 이루어지고, 상기 탄성지지부가 지주로부터 안쪽으로 연장된 빔부와, 이 빔부상에 설치되어 피처리기판의 중앙영역 하면을 지지하는 탄성지지점을 갖춘 것을 특징으로 하는 열처리장치이다.
제1 특징에 의하면, 기판지지장치의 고정지지부에 의해 열처리기판의 하면이지지됨과 더불어 탄성지지부에 의해 피처리기판의 자체의 무게에 의한 휨부분이 탄성지지되어 피처리기판의 휨이 교정된다. 이로 인해, 피처리기판의 응력을 감소 내지 제거할 수 있기 때문에, 고온열처리시의 피처리기판의 슬립을 방지하는 것이 가능하게 되고, 수율의 향상이 도모된다. 또, 피처리기판의 대구경화에도 대응가능하게 된다. 더욱이, 고정지지부는 복수개 예컨대 3개로 족하고, 3점 지지이면 정밀도를 요구하지 않기 때문에, 용이하게 제작가공하는 것이 가능하다.
제2 특징에 의하면, 환상 기저부상의 복수개의 고정지지점에 의해 피처리기판의 주연영역 하면이 지지되고, 환상 기저부로부터 돌출된 빔부의 탄성력을 이용한 탄성지지점에 의해 피처리기판의 자체의 무게로 휜 중앙영역 하면이 탄성지지되어 피처리기판의 휨이 교정된다. 이로 인해, 피처리기판의 응력을 감소 내지 제거할 수 있기 때문에, 고온열처리시의 피처리기판의 슬립을 방지하여 수율의 향상을 도모할 수 있다.
제3 특징에 의하면, 기판지지장치의 지주에 설치된 계지부에 의해 피처리기판의 주연영역 하면이 지지됨과 더불어 지주로부터 돌출된 빔부의 탄성력을 이용한 탄성지지점에 의해 각 피처리기판의 중앙영역 하면이 탄성지지되어 피처리기판의 휨이 교정된다. 이로 인해, 피처리기판의 응력을 감소 내지 제거할 수 있기 때문에, 고온열처리시의 피처리기판의 슬립을 방지하여 수율의 향상을 도모할 수 있는 동시에, 다수매의 피처리기판을 동시에 열처리하는 것이 가능하게 된다.
(실시예)
이하, 본 발명을 종형 열처리장치에 적용한 실시예를 첨부도면에 기초하여설명한다. 우선, 제2도에 있어서, 참조부호 1은 피처리기판 예컨대 반도체 웨이퍼(W)에 감압(CVD)에 의한 성막(成證)처리를 실시하는데 적합하도록 구성된 종형 열처리장치로, 중앙에 원형의 개구부(2a)를 갖춘 예컨대 스테인레스강제의 기저판(base plate; 2)을 수평으로 갖추고 있다. 이 기저판(2)의 아래쪽에는 상단 및 하단에 외향(外向)의 플랜지부(3a,3b)를 갖춘 예컨대 스테인레스강제의 짧은 원통형상의 다기관(manifold; 3)이 상기 개구부(2a)와 축심(軸心)을 일치시켜 배치되어 있다. 또, 이 다기관(3)상에는 종형 열처리로(열처리실)를 형성하기 위해 내열성 및 내식성을 갖춘 재료 예컨대 석영으로 이루어진 처리 용기인 반응관(process tube; 4)이 기밀(氣密)하게 접속되어 있다.
반응관(4)은 상단이 폐쇄되고, 하단이 개구됨과 더불어 하단에 외향의 플랜지부(4a)를 갖추고 있다. 본 실시예에서의 반응관(4)의 내측에는 상단 및 하단이 개구된 석영제의 내관(5)이 다기관(3) 내면에 형성된 내향 플랜지부(3c)에 계지시켜 동심원형상으로 배치되어, 이중관구조의 종형 열처리로가 형성되어 있다.
다기관(3)에는, 반응관(5)내에 도시하지 않은 처리가스 공급원 혹은 불활성 가스 공급원으로부터 처리가스 내지 불활성 가스를 도입하기 위한 복수개의 도입관부(6)가 설치됨과 더불어, 도시하지 않은 진공펌프 등의 감압수단에 의해 반응관(4)내를 배기하여 예컨대 10∼10-8Torr정도의 진공으로 하기 위한 배기관부(7)가 설치되어 있다. 또, 반응관(4)의 주위에는 반응관(4)내를 고온 예컨대 700∼1200℃정도로 가열하는 예컨대 칸탈선(kanthal wire) 등의 전열선(저항발열체)을 코일형상 등으로 형성하여 이루어진 히터(가열원; 8)가 배치되고, 이 히터(8)의 외주는 단열재(9)를 매개로 냉각자켓(cooling jacket)구조의 외부쉘(outer shell; 10)로 덮여 있다. 이들 히터(8), 단열선(9) 및 외부쉘(10)은 기저판(2)상에 지지되어 있다.
상기 다기관(3)의 아래쪽에는 그 개구단을 개폐하는 예컨대 스테인레스강제의 뚜껑(11)이 로딩기구인 승강기구(12)에 의해 승강가능하게 설치되어 있다. 이 뚜껑(11)상에는 다수매 예컨대 150매 정도의 웨이퍼(W)를 수평상태로 상하방향으로 간격을 두고 다단으로 수용유지하는 석영제의 웨이퍼 보트(기판지지장치; 13)가 석영제의 보온통(14)을 매개로 탑재되어 있다. 또, 뚜껑(11)에 웨이퍼(W)를 균일하게 열처리하기 위해, 보온통(14)을 매개로 웨이퍼 보트(13)를 회전시키는 회전구동수단을 설치하여도 좋다.
웨이퍼 보트(기판지지장치; 13)는 제3도 및 제4도에 나타낸 바와 같이 원판형상의 웨이퍼(W)의 주위를 둘러 싸듯이 적당한 간격으로 배치된 복수개, 실시예에서는 3개의 지주(15)와, 이들 지주(15)에 걸쳐서 수평으로 지지되어 높이방향으로 적당한 간격을 두고 다단으로 설치된 다수의 기판지지체(16)로 구성되어 있다. 기판지지체(16)는 웨이퍼(W)를 1매씩 열처리하는 매엽식(枚葉式) 열처리장치에서의 기판지지구로서 단체(單體)로도 사용할 수 있도록 구성되어 있다.
상기 기판지지체(16)는, 제1도에도 나타낸 바와 같이 웨이퍼(W)와 거의 동일 직경을 갖는 환상의 편평한 환상 기저부(17)를 갖추고 있고, 이 환상 기저부(17)의 상떤부에는 상기 웨이퍼(W)의 주연영역 하면을 지지하는 복수개, 실시예에서는 3개의 고정지지점(18)이 둘레방향으로 적당한 간격을 두고 상향(上向)으로 돌출되어 있다. 또, 환상 기저부(17)에는 내주의 일부로부터 반경방향 안쪽으로 거의 수평으로 연장된 가늘고 긴 판형상의 빔부(19)가 일체적으로 형성되어 있는데, 이 빔부(19)는 탄성력을 갖고 상하방향으로 휠 수 있도록 되어 있다. 이 빔부(19)의 선단 상부에는 웨이퍼(W)의 중앙영역 하면을 상기 빔부(19)의 탄성력을 이용하여 탄성지지하는 탄성지지점(20)이 상향으로 돌출되어 있다.
그런데, 웨이퍼 보트(13)를 구성하는 지주(15), 환상 기저부(17), 빔부(19), 고정지지점(18) 및 탄성지지점(20)은 모두 석영제로 되어 있지만, 석영 대신에 탄화규소(SiC) 또는 실리콘 등을 사용할 수 있다. 특히, 빔부(19)에 대해서는, 고온영역에 있어서 열변형이 적은 탄화규소를 사용하는 것이 바람직하다.
고정지지점(18)은 모두 동일한 높이로 형성되고, 탄성지지점(20)은 웨이퍼(W)를 지지할 때의 빔부(19)의 휨량을 고려하여 고정지지짐(18)보다도 높이(h)만큼 높게 형성되어 있다(제4도 참조). 이 탄성지지점(20)에 의한 탄성지지에 의해, 자체의 무게로 휘는 웨이퍼(W)의 중앙영역의 휨이 교정된다. 그리고, 지주(15)와 환상 기저부(17) 및 고정지지점(18)에 의해 웨이퍼(W)의 주연영역 하면을 지지하는 복수개의 고정지지부가 구성되고, 빔부(19)와 탄성지지점(20)에 의해 웨이퍼(W)의 중앙영역 하면을 탄성지지하는 탄성지지부가 구성되어 있다.
또한, 상기 빔부(19)의 폭, 두께, 길이 및 탄성지지점(20)의 고정지지점(18)에 대한 돌출높이(h)는, 고정지지점(18)에 의해 지지된 웨이퍼(W)의 주연영역과 자체의 무게로 휨과 더불어 탄성지지짐(20)에 의해 지지된 중앙영역이 거의 동일 수평면상에 위치하도록 설정되어 있다. 또, 상기 고정지지점(18) 및 상기 탄성지지점(20)은, 실시예에서는 원주형상으로 형성되어 있지만, 반구형상 등이라도 좋다.
이와 같이 구성된 기판지지체(16)의 환상 기저부(17)를 상기 지주(15)에 장치하기 위해, 지주(15)에는 환상 기저부(17)의 주연영역 하면을 지지하는 계지부(21)가 형성되고, 이들 계지부(21)에 환상 기저부(17)가 수평방향으로부터 분리가능하게 지지되어 있다. 이 경우, 지주(15)는 제3도에 나타낸 바와 같이 한쪽(우측)이 웨이퍼(W)의 이재(移載)가 가능하도록 개방된 배치구성으로 되어있다. 또, 지주(15)의 상단부나 하단부 등은 면형상 등의 연결편(達結片; 22)으로 서로 연결되어 있다(제2도 참조).
환상 기저부(17)는 빔부(19)의 선단을 지주(15)의 개방부(23)측에 인접시킨 상태로 배치되고, 빔부(19) 선단은 환상 기저부(17)의 중심으로부터 더 개방부(23)측으로 향하여 연장되며, 빔부(19) 선단의 탄성지지부(20)는 웨이퍼(W)의 개방부(23)측 주연영역에 근접한 중앙영역 하면을 탄성지지하도록 설정되어 있다. 또한, 웨이퍼(W)의 이재장치는 빔부(19)의 탄성지지점(20)과의 간섭을 피하기 위한 절결부(24a)를 갖춘 설편(舌片)형상의 이재아암(24)으로 이루어지고, 이 이재아암(24)에 웨이퍼(W)를 위치시켜 기판지지체(16) 등에 대한 이재작업을 반응관(4)의 아래쪽의 이재영역에서 행하도록 되어 있다.
다음에, 이러한 구성으로 이루어진 본 실시예의 작용을 설명한다. 우선, 제1도에 나타낸 바와 같이 승강기구(12)에 의해 반응관(4) 아래쪽의 이재영역으로 뚜껑(11)이 강하하고, 이 뚜껑(11)상에 설치된 웨이퍼 보트(13)의 각 기판지지체(16)에 이재장치의 이재아암(24)에 의해 웨이퍼(W)가 이재된다. 이에 따라, 웨이퍼(W)의 주연영역 하면이 기판지지체(16)에서의 복수개의 고정지지점(18)상에 지지됨과 더불어 웨이퍼(W)의 중앙영역 하면이 탄성지지점(20)상에 지지된다. 웨이퍼(W)는 주연영역 하면이 고정지지점(18)에 지지된 경우 중앙영역이 자체의 무게로 휘는 경향이 있지만, 탄성지지점(20)이 빔부(19)의 탄성력에 의해 아래쪽으로 탄성변위하면서 웨이퍼(W)의 중앙영역 하면을 지지하여, 웨이퍼(W)의 중앙영역의 하중과 빔부(19)의 탄성력과의 밸런스에 의해 웨이퍼(W)의 중앙영역의 휨이 교정된다. 이 경우, 웨이퍼(W)의 중앙영역은 주연영역과 거의 동일한 수평면상에 배치된다.
이렇게 하여 웨이퍼(W)의 이재가 완료되었다면, 뚜껑(11)이 상승이동되어 웨이퍼 보트(13)가 반응관(4)내로 반입됨과 더불어 뚜껑(11)이 다기관(3)의 하단 플랜지부(3b)에 당접하여 반응관(4)이 밀폐된다. 이 상태에서, 배기관부(7)로부터의 배기(진공으로 됨)에 의해 반응관(4)내가 진공치환됨과 더불어, 도입관부(6)로부터의 불활성 가스 예컨대 질소(N2)가스의 도입에 의해 반응관(4)내가 질소(N2)가스로 치환된 후, 반응관(4)내를 히터(8)로 소정의 온도로 한 상태에서 도입관부(6)로부터 소정의 처리가스를 도입함으로써 성막처리 등의 열처리가 행해진다.
따라서, 상기 종형 열처리장치에 의하면, 웨이퍼 보트(W)에서의 기판지지체(16)의 환상 기저부(17)상에 돌출된 복수개의 고정지지점(18)에 의해 웨이퍼(W)의 주연영역 하면이 지지됨과 더불어, 환상 기저부(17)로부터 돌출된 휨이가능한 빔부(19)의 탄성력에 의해 변위하는 탄성지지점(20)에 의해 웨이퍼(W)의 자체의 무게로 휘는 중앙영역 하면이 탄성지지되기 때문에, 웨이퍼(W)의 지지시의 자체의 무게에 의한 특히 중앙영역의 휨이 교정된다. 이로 인해, 웨이퍼(W)의 응력을 가급적 감소 내지 제거할 수 있기 때문에, 고온열처리시의 웨이퍼(W)의 슬립을 방지하여 수율의 향상을 도모할 수 있다. 또, 환상기저부(17)가 주위에 적당한 간격을 두고 배치된 복수개의 지주(15)에 높이방향(수직방향)으로 적당한 간격으로 다단으로 설치되어 있기 때문에, 다수매의 웨이퍼(W)를 그 휨을 교정하면서 지지하여 동시에 열처리할 수 있다.
또, 지지구조에 의해 웨이퍼(W)의 휨을 교정하여 지지하는 것이 가능하기 때문에, 웨이퍼(W)의 대구경화에도 용이하게 대응할 수 있다. 더욱이, 웨이퍼(W)의 하면을 다수의 고정지지점으로 면내 균일하게 지지하고자 하는 경우, 고정지지점의 높이를 정밀도 좋게 일치시켜 가공하는 것이 곤란하지만, 실시예와 같이 3점의 고정지지점(18)을 사용한 경우, 고정지지점(18)의 정밀도가 요구되지 않기 때문에 용이하게 가공제작할 수 있다. 게다가, 기판지지체(16)의 구조가 비교적 간단하기 때문에, 제조도 용이하게 할 수 있고, 비용의 절감을 도모할 수 있다. 또, 상기 기판지지체(16)는 단독으로 매엽식 열처리장치에서의 기판지지구로서 사용할 수 있다. 더욱이, 웨이퍼 보트(13)의 지주(15)에 기판지지체(16)의 환상 기저부(17)가 분리가능하게 설치되어 있기 때문에, 세정을 용이하게 행할 수 있다. 또한, 기판지지체(16)의 환상 기저부(17)는 지주(15)에 반드시 분리가능하게 설치되어 있지 않아도 좋고, 용접 등으로 고정되어 있어도 좋다.
다음에, 제5도에 의해 된 발명의 다른 실시예에 대해 설명한다. 제5도에 나타낸 다른 실시예에 있어서, 제1도 내지 제4도에 나타낸 실시예와 동일부분에는 동일한 참조부호를 붙이고, 상세한 설명은 생략한다. 제5도에 있어서, 기판지지체(16)의 환상 기저부(17)에는 내주로부터 복수개, 예컨대 3개의 가늘고 긴 판형상의 빔부(19)가 원주방향으로 연장되어 일체적으로 형성되고, 각 빔부(19)의 선단 상부에 탄성지지점(20)이 설치되어 있다.
이와 같이 구성된 기판지지체(16)는 매엽식 열처리장치의 기판지지구로서 단독으로 사용되던가, 혹은 상기 실시예와 같이 지주(15)에 다단으로 설치됨으로써 종형 열처리장치(1)의 웨이퍼 보트(13)의 일부를 구성한다.
본 실시예에 의하면, 빔부(19)가 상기 기저부(17)로부터 원주방향으로 복수개 연장하여 형성되어 있기 때문에, 필요한 탄성력을 얻기 위한 빔부(19)의 길이를 용이하게 확보할 수 있다. 또, 웨이퍼(W)의 중앙영역 하면을 복수개의 탄성지지점(20)으로 탄성지지할 수 있고, 웨이퍼(W)의 응력을 충분히 감소 내지 제거할 수 있다.
다음에는 제6도 및 제7도에 의해 본 발명의 또 다른 실시예에 대해 설명한다. 제6도 및 제7도에 나타낸 실시예에 있어서, 제1도 내지 제4도에 나타낸 실시예와 동일 부분에는 동일한 참조부호를 붙이고, 상세한 설명은 생략한다. 제6도 및 제7도에 나타낸 바와 같이, 웨이퍼 보트(13)의 지주(15)에는 웨이퍼(W)의 주연영역 하면을 계지하는 계지부(25)가 높이방향으로 적당한 간격을 두고 다단으로 설치되어, 래더보트와 마찬가지고 다수매의 웨이퍼(W)를 지지할 수 있도록 되어 있다. 그리고, 상기 계지부(25)가 웨이퍼(W)의 주연영역 하면을 적당한 간격으로 지지하는 고정지지부를 구성하고 있다.
또, 상기 웨이퍼 보트(13)의 개방부(23)와 대향하는 측의 지주(15)에는 계지부(25)의 하측으로부터 개방부(23)측으로 거의 수평으로 연장됨과 더불어 상하방향으로 휨이 가능한 빔부(19)가 설치되고, 이 빔부(19)의 선단상에는 빔부(19)의 탄성력을 이용하여 웨이퍼(W)의 중앙영역 하면을 탄성지지하는 탄성지지점(20)이 상기 고정지지점(18)보다도 높게 돌출되어 있다.
이러한 웨이퍼 보트(13)를 갖춘 종형 열처리장치에 의하면, 웨이퍼 보트(13)의 지주(15)에 설치된 고정지지점(18)에 의해 각 웨이퍼(W)의 주연영역 하면이 지지됨과 더불어 상기 지주(15)로부터 연장된 휨이 가능한 빔부(19)의 탄성력을 이용한 탄성지지점(20)에 의해 각 웨이퍼(W)의 중앙영역 하면이 탄성지지되어 웨이퍼(W)의 휨이 교정되기 때문에, 웨이퍼(W)의 응력을 가급적 감소내지 제거할 수 있고, 고온열처리시의 웨이퍼(W)의 특히 중앙영역의 슬립을 방지하여 수율의 향상을 도모할 수 있는 동시에, 다수매의 웨이퍼(W)를 동시에 열처리할 수 있다. 또, 탄성지지부는 웨이퍼 보트(13)의 지주(15)에 설치된 빔부(19)와, 빔부(19) 선단의 탄성지지점(20)으로 이루어진 간단한 구조로 되어 있기 때문에, 제조가 용이하여 비용의 절감을 도모할 수 있는 동시에, 기존의 래더보트에도 용이하게 적용가능하다.
또한, 본 발명은 상기 실시예에 한정되는 것은 아니고, 본 발명의 요지의 범위내에서 여러가지의 변형실시가 가능하다. 예컨대, 본 발명은 상술한 바와 같이다수매의 웨이퍼(W)를 열처리하는 배치(batch)식 종형 열처리장치 이외에 매엽식 열처리장치에도 적용가능하다. 또, 피처리기판으로서는 반도체 웨이퍼 이외에 예컨대 LCD기판 등이 적용가능하다. 더욱이, 기판지지구의 재료로서는 석영 이외에 예컨대 탄화규소(SiC) 등이 적용가능하다. 또, 기판지지체(16)를 갖춘 웨이퍼 보트(13)를 구성하는 경우, 링 보트의 링을 기판지지체(16)의 환상 기저부(17)로서 이용하고, 그 링에 고정지지점(18)과 탄성지지점(20)을 갖춘 빔부(19)를 설치하여도 좋다. 더욱이, 상기 실시예에서는 고정지지부가 웨이퍼(W)의 주연영역 하면을 지지하고, 탄성지지부가 중앙영역 하면을 지지하도록 구성되어 있지만, 본 발명은 이에 한정되지 않고, 예컨대 탄성지지부가 웨이퍼의 중앙영역 하면뿐만 아니라 주연영역 하면을 고정지지부와 더불어 지지하도록 구성되어 있어도 좋다.
요컨대, 이상 설명한 바와 같이 본 발명에 의하면, 다음과 같은 우수한 효과가 얻어진다.
(1) 기판지지장치의 복수개의 고정지지부(17,18)에 의해 피처리기판(W)의 하면이 지지됨과 더불어, 탄성지지부(19,20)에 의해 피처리기판(W)의 자체의 무게에 의한 휨부분이 탄성지지되어 피처리기판(W)의 휨이 교정되기 때문에, 피처리기판(W)의 응력을 가급적 감소 내지 제거할 수 있고, 고온열처리시의 피처리기판(W)의 슬립을 방지하는 것이 가능하게 되어 수율의 향상을 도모할 수 있다. 또, 피처리기판(W)의 대구경화에도 대응가능하게 된다. 더욱이, 고정지지부(17,18)는 복수개, 예컨대 3개로 족하고, 3점 지지라면 정밀도를 요구하지 않기 때문에, 용이하게 제작가공하는 것이 가능하다.
(2) 또, 기판지지장치에서의 환상 기저부(17)상의 복수개의 고정지지점(18)에 의해 피처리기판(W)의 주연영역 하면이 지지됨과 더불어, 환상 기저부(17)로부터 연장된 빔부(19)의 탄성력을 이용한 탄성지지점(20)에 의해 피처리기판(W)의 자체의 무게로 휘는 중앙영역 하면이 탄성지지되어 피처리기판(W)의 휨이 교정되기 때문에, 피처리기판(W)의 응력을 가급적 감소 내지 제거할 수 있고, 고온열처리시의 피처리기판의 슬립을 방지하여 수율의 향상을 도모할 수 있다.
(3) 더욱이, 빔부(19)가 환상 기저부(17)로부터 원주형상으로 복수개 돌출하여 형성되기 때문에, 필요한 탄성력을 얻기 위한 빔부(19)의 길이를 용이하게 확보할 수 있는 동시에, 피처리기판(W)의 중앙영역 하면을 복수개의 탄성지지점(20)으로 탄성지지할 수 있고, 피처리기판의 응력을 충분히 감소 내지 제거할 수 있다.
(4) 또, 환상 기저부(17)가 복수개의 지주(15)에 높이방향으로 적당한 간격을 두고 설치되어 있기 때문에, 다수매의 피처리기판(W)을 그 휨을 교정하면서 지지하여 동시에 열처리할 수 있다.
(5) 더욱이 또, 기판지지장치의 지주(15)에 설치된 계지부(25)에 의해 피처리기판(W)의 주연영역 하면이 지지됨과 더불어, 지주(15)로부터 돌출된 빔부(19)의 탄성력을 이용한 탄성지지점(20)에 의해 각 피처리기판(W)의 중앙영역 하면이 탄성지지되어 피처리기판(W)의 휨이 교정되기 때문에, 피처리기판(W)의 응력을 가급적 감소 내지 제거할 수 있고, 고온열처리시의 열처리기판(W)의 슬립을 방지하여 수율의 향상을 도모할 수 있는 동시에, 다수매의 피처리기판(W)을 동시에 열처리할 수 있다.
제1도는 본 발명의 한 실시예를 나타낸 기판지지체의 사시도,
제2도는 본 발명을 적용한 종형 열처리장치의 일례를 나타낸 종단면도,
제3도는 제1도의 기판지지체를 구비한 웨이퍼 보트를 나타낸 평면도,
제4도는 제3도의 개략적 종단면도,
제5도는 본 발명의 다른 실시예를 나타낸 웨이퍼 보트의 평면도,
제6도는 본 발명의 또 다른 실시예를 나타낸 웨이퍼 보트의 평면도,
제7도는 제6도의 개략적 종단면도이다.

Claims (2)

  1. 피처리기판을 수평으로 지지하는 기판지지장치를 갖춘 열처리장치에 있어서,
    상기 기판지지장치가, 피처리기판의 주연영역 하면을 견고하게 지지하는 고정지지부와,
    상기 고정지지부에 연결되어 피처리기판의 중앙영역 하면을 탄성적으로 지지하는 탄성지지부를 구비한 것을 특징으로 하는 열처리장치.
  2. 기판을 수평방향으로 지지하기 위해 기판의 주연영역 하면과 접촉하고, 수평으로 배치된 기판을 수직으로 소정의 간격을 두고 적층배열을 이루도록 위치시키는 수직방향으로 소정의 간격을 두고 배치된 지지점을 갖춘 복수의 종형 지주를 갖추고 있는 고정지지부를 갖춘 기판지지체를 구비하고,
    상기 기판지지체가, 상기 고정지지부에 의해 지지되고, 상기 기판의 중앙 영역이 아래쪽으로 휘는 것을 회피하도록 상기 고정지지부로부터 상기 피처리기판의 중앙영역으로 반경방향으로 연장된 탄성의 빔부를 갖춘 탄성지지부를 더 갖추고 있는 것을 특징으로 하는 열처리장치.
KR1019960005262A 1995-03-01 1996-02-29 열처리장치 KR100386193B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP95-67047 1995-03-01
JP6704795A JP3151118B2 (ja) 1995-03-01 1995-03-01 熱処理装置

Publications (2)

Publication Number Publication Date
KR960035893A KR960035893A (ko) 1996-10-28
KR100386193B1 true KR100386193B1 (ko) 2003-08-21

Family

ID=13333548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960005262A KR100386193B1 (ko) 1995-03-01 1996-02-29 열처리장치

Country Status (4)

Country Link
US (1) US5718574A (ko)
JP (1) JP3151118B2 (ko)
KR (1) KR100386193B1 (ko)
TW (1) TW353788B (ko)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998000860A1 (fr) * 1996-06-28 1998-01-08 Sumitomo Sitix Corporation Procede et dispositif de traitement thermique d'une plaquette en silicium monocristallin, plaquette en silicium monocristallin et procede de production d'une plaquette en silicium monocristallin
USD423026S (en) * 1997-08-20 2000-04-18 Tokyo Electron Limited Quartz cover
KR100247138B1 (ko) * 1997-11-20 2000-03-15 구본준, 론 위라하디락사 유리기판 적재용 카세트
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
US6264467B1 (en) * 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US20020041102A1 (en) * 2000-07-10 2002-04-11 Nis Krauskopf Robotic end effector for semiconductor wafer processing
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6544033B1 (en) * 2000-09-08 2003-04-08 Applied Materials, Inc. Wafer carrier
US7204887B2 (en) * 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP4589545B2 (ja) * 2001-02-19 2010-12-01 新日本製鐵株式会社 ウェハ支持部材、ウェハ保持具およびウェハ保持装置
WO2002033743A1 (fr) * 2000-10-16 2002-04-25 Nippon Steel Corporation Porte-plaquette, element de support de plaquette, dispositif porte-plaquette et four de traitement thermique
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US6488497B1 (en) * 2001-07-12 2002-12-03 Saint-Gobain Ceramics & Plastics, Inc. Wafer boat with arcuate wafer support arms
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
KR100496133B1 (ko) * 2002-11-30 2005-06-17 주식회사 테라세미콘 반도체 제조장치
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
KR100549273B1 (ko) * 2004-01-15 2006-02-03 주식회사 테라세미콘 반도체 제조장치의 기판홀더
US20070275570A1 (en) * 2004-01-20 2007-11-29 Hitachi Kokusai Electric Inc. Heat Treatment Apparatus
US7163393B2 (en) * 2004-02-02 2007-01-16 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor silicon substrate
US8033245B2 (en) 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
JP4929199B2 (ja) * 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010272683A (ja) * 2009-05-21 2010-12-02 Covalent Materials Corp 縦型ウエハボート
JP5375643B2 (ja) * 2009-07-07 2013-12-25 日新イオン機器株式会社 ウエハ保持機構、ウエハホルダ及び静電チャック
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012151433A (ja) * 2010-12-28 2012-08-09 Tokyo Electron Ltd 熱処理装置
JP5654901B2 (ja) * 2011-02-28 2015-01-14 東京応化工業株式会社 支持方法、これを用いた高温処理方法、及び支持治具
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9736436B2 (en) 2012-07-25 2017-08-15 Echostar Technologies L.L.C. Systems and methods for transponder stacking
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103386566B (zh) * 2013-07-10 2015-04-01 中国电子科技集团公司第四十一研究所 介质基片激光加工夹具及其使用方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10072892B2 (en) * 2015-10-26 2018-09-11 Globalwafers Co., Ltd. Semiconductor wafer support ring for heat treatment
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
LT3422396T (lt) * 2017-06-28 2021-09-10 Meyer Burger (Germany) Gmbh Substrato transportavimo įrenginys, valymo įrenginys su padėklu, pritaikytu minėto įrenginio substrato laikikliui, ir substrato apdorojimo naudojant minėtą substrato transportavimo įrenginį bei valymo įrenginį būdas
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113310331A (zh) * 2021-06-02 2021-08-27 宁波江丰电子材料股份有限公司 一种具有内部环形通道的冷却盘体及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023184007A1 (en) * 2022-03-28 2023-10-05 Spheretech Research Corp. Jig for use in lpcvd

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3958924A (en) * 1974-12-02 1976-05-25 Advanced Materials Engineering Limited Furnace fittings
US4480990A (en) * 1983-06-27 1984-11-06 At&T Technologies, Inc. Article support rack and methods of weighting down articles
JPS6216516A (ja) * 1985-07-15 1987-01-24 Mitsubishi Electric Corp 半導体製造装置
US5067695A (en) * 1989-05-08 1991-11-26 Micron Technology, Inc. Circuit board support apparatus for use with circuit board lead trimmer
US5044752A (en) * 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
JP3058901B2 (ja) * 1990-09-26 2000-07-04 東京エレクトロン株式会社 熱処理装置
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JP3204699B2 (ja) * 1990-11-30 2001-09-04 株式会社東芝 熱処理装置
JP3234617B2 (ja) * 1991-12-16 2001-12-04 東京エレクトロン株式会社 熱処理装置用基板支持具
US5492229A (en) * 1992-11-27 1996-02-20 Toshiba Ceramics Co., Ltd. Vertical boat and a method for making the same
JP3245246B2 (ja) * 1993-01-27 2002-01-07 東京エレクトロン株式会社 熱処理装置
JP3316068B2 (ja) * 1993-12-01 2002-08-19 東京エレクトロン株式会社 熱処理用ボート
JP3395799B2 (ja) * 1993-12-24 2003-04-14 東京エレクトロン株式会社 基板搬送装置および熱処理装置
JP3474261B2 (ja) * 1994-05-17 2003-12-08 東京エレクトロン株式会社 熱処理方法

Also Published As

Publication number Publication date
KR960035893A (ko) 1996-10-28
JPH08236515A (ja) 1996-09-13
US5718574A (en) 1998-02-17
JP3151118B2 (ja) 2001-04-03
TW353788B (en) 1999-03-01

Similar Documents

Publication Publication Date Title
KR100386193B1 (ko) 열처리장치
JP3348936B2 (ja) 縦型熱処理装置
KR100375100B1 (ko) 열처리장치
US20030173031A1 (en) Wafer holder with peripheral lift ring
JPH0974071A (ja) 縦型熱処理装置
KR100483457B1 (ko) 열처리장치
KR20070070095A (ko) 반도체 처리용 종형 보트 및 종형 열처리 장치
US5679168A (en) Thermal processing apparatus and process
JP3004846B2 (ja) 気相成長装置用サセプタ
US20060180076A1 (en) Vapor deposition apparatus and vapor deposition method
US5626680A (en) Thermal processing apparatus and process
JP2000150402A (ja) 基板支持治具
JPH10242067A (ja) 熱処理用基板支持具
JP4031601B2 (ja) 縦型熱処理装置
KR20130007428A (ko) 성막 장치
JP2000150403A (ja) 保温筒および縦型熱処理装置
US6133121A (en) Apparatus for supporting semiconductor wafers and semiconductor wafer processing method using supporting apparatus
JP3503710B2 (ja) 半導体ウエハの熱処理用搭載治具及び熱処理装置
JP3057515B2 (ja) 縦型熱処理装置
JP3055797B2 (ja) 縦型熱処理装置
JPH0727870B2 (ja) 減圧気相成長方法
JP4597432B2 (ja) 縦型熱処理装置
JP3056240B2 (ja) 熱処理装置
JPH1098048A (ja) ウエハー熱処理装置
JP3023977B2 (ja) 縦型熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20070511

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee