JPS63116430A - マスク形成方法 - Google Patents

マスク形成方法

Info

Publication number
JPS63116430A
JPS63116430A JP62117263A JP11726387A JPS63116430A JP S63116430 A JPS63116430 A JP S63116430A JP 62117263 A JP62117263 A JP 62117263A JP 11726387 A JP11726387 A JP 11726387A JP S63116430 A JPS63116430 A JP S63116430A
Authority
JP
Japan
Prior art keywords
layer
mask
substrate
forming
lithography
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP62117263A
Other languages
English (en)
Other versions
JP2553078B2 (ja
Inventor
ニコラス・ジェームズ・ジャマーコ
アレキサンダー・ジンペルソン
ジョージ・アンソニー・カプリタ
アレキサンダー・ダニエル・ロパタ
アンソニー・フランシス・スカデュト
ジョセフ・フランシス・シェパード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS63116430A publication Critical patent/JPS63116430A/ja
Application granted granted Critical
Publication of JP2553078B2 publication Critical patent/JP2553078B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A、産業上の利用分野 この発明は集積回路(IC)の製造用のりソグラフィ画
像の大きさを縮小する方法に関するものである。詳細に
いえば、この発明はりソグラフイで得られる大きさより
も小さな開口を有するマスクを形成する方法に関するも
のである。
B、従来技術 デバイスを縮小することが絶えず要望されているため、
IC業界には硝子たる進歩がみられる。
デバイスの寸法を小さくすることは、製造コストを削減
すると同時に、性能(スピード)を改善するものである
。この進歩は湿式エツチングを乾式エツチング(プラズ
マ・エツチング、反応性イオン・エツチングおよびイオ
ン・ミリング)への変更、高比抵抗のポリシリコンの相
互接続に代わるものとしての低比抵抗のケイ素化合物と
超硬合金の使用、精密な細線リソグラフィを損なうウエ
ハ表面の変動を補πする複式レジスト、純度を高め材料
の欠陥を削減するレーザおよび電子ビーム処理、低マイ
クロメートル・レベルでの線幅および層間整合状態を測
定することのできない光学的方法に代わってこれらのパ
ラメータを検査する非光学的方法などの、新しい処理手
法に負うものではあるが、リソグラフィはすべての工程
を進歩させる推進力であった。深紫外線源および光学手
段を備えた1:1光学投射システムなどの改善されたり
ソグラフィ・ツール、電子ビーム、直接ステップ・ウェ
ハ、ならびにX 1.fitおよびイオン・ビーム・シ
ステムおよび改善されたフォトレジスト材料、およびX
線または電子ビームに感光させた頂部レジストと底部の
直線光学レジスト層を利用した多層レジストなどの方法
は、この推進力の原因の一部である。
C0発明が解決しようとする問題点 この目覚ましい進歩にもかかわらず、リソグラフィ・ツ
ール、材料および方法自体に対する拡張機能によって提
供されるもの以上の画像の大きさを小さくすることに対
する要望は、絶えず存在している。しかしながら、従来
技術はこの要望に対処できなかった。
D0問題点を解決するための手段 最も範囲の広い形態において、この発明は画像を得るた
めに使用されるリソグラフィ・マスク材料の開口内面に
側壁を設けることによって、リソグラフィ画像の大きさ
を縮小する方法を提供する。
特定の実施例において、この発明はりソグラフィによっ
て得られる大きさよりも小さな開口を有するマスクを作
成する方法を提供する。基板(たとえば、半導体、絶縁
物または金属)から始めた場合、フォトレジストおよび
二酸化シリコンなどの絶縁材の薄いリリース層が基板上
に形成される。
次いで、感光材料の厚い層が貼付される。厚い層にはり
ソグラフィ手段によって、リソグラフィの限界によって
決定される最小の開口を有するように、パターンが形成
される。その後、開口の大きさをさらに小さくするため
に、コンフォーマル層材料がパターンの形成された感光
材料層、およびパターンの形成された層の開口によって
露出された基板部分に貼付される。コンフォーマル層材
料の厚さは、開口の大きさの希望する縮尺によって決定
される。たとえば、細長い開口の場合、開口の幅の縮小
率は、コンフォーマル層の厚さの約2倍である。コンフ
ォーマル層材料の例としては、プラズマ堆積ヘキサメチ
ルジシラザン(HMDS)によって形成されたS 1x
0.が挙げられる。指向性反応性イオン・エツチング(
RIE)によって、コンフォーマル層がすべての水平表
面から除去され、感光材料内の開口に対応した非水平面
上に、コンフォーマル層材料の側壁が残される。感光材
料内の開口によって露出させられたリリース層も、RI
Hによって除去される。コンフォーマル層材料の側壁と
組み合わされた厚い感光マスクが、リソグラフィ単独で
得られるものよりも小さな開口を有する新しいマスク(
ステンシル)を構成する。
この新しいマスクは、縮小された門口によって露出させ
られた基板に注入を行なうためのイオン注入を含むさま
ざまな目的に使用できる。例えばこの新しいマスクを基
板に幅の狭いトレンチをエツチングするためのRIEマ
スクとして、半導体基板の露出領域に埋込絶縁分離を形
成するための酸化マスクとして、基板に対する幅の狭い
接触または基板上の導線を確立するための接触マスクま
たはメタライゼーション・マスクとして、あるいはその
他の目的で使用できる。このような用途に使用したのち
、リリース層に湿式エツチングを施して、新しいマスク
を基板から剥離させる。
幅が狭くしかも深いトレンチを半導体基板内に形成する
ためには、上面にフォトレジストまたはポリイミドなど
の厚い絶縁層を有する半導体基板から始めることによっ
て、上述のマスク形成方法を手直しする。上述の新しい
マスクは厚い絶縁属上に形成され、その後、新しいマス
クなRIBマスクとして使用したRIEによって、厚い
絶縁層にパターンを形成する。リリース層の剥S後、基
板上のパターンの形成された厚い絶縁層は、リソグラフ
ィの限界よりも幅の狭い、深いトレンチを半導体材料中
にエツチングするためのトレンチR1Bマスクとして機
能する。
E、実施例 第1図ないし第4国に示した処理工程において、処理は
基板10から開始される。基板10はその上に光活性層
をコーティングでき、かつリソグラフィ手法によってパ
ターンを形成することのできる任意の材料である。たと
えば、基板10は半導体材料、ガラス、絶縁体、−大恩
光材料、金属またはこれらを組み合わせたものである。
次に、リリース層12を基板10に貼付する。リリース
層12を基板から容易に除去できる材料で構成する。
このような除去は湿式化学エツチング液によって、ある
いは酸素アッシング(灰化法)によって行なわれる。リ
リース層の基本的な機能はそれ自体の除去を容易とする
ことであるから、この層の上にこのあとで形成されるあ
らゆる層/構造も同様に除去される。B12を形成する
のに適した材料の例としては、フォトレジストが挙げら
れる。ひとつの例においては、AZ1350J[アメリ
カン・ヘキスト(American Hoechtst
 )社の商標]というフォトレジストを、スピン・コー
ティングによって貼付し、その後、約200ないし25
0℃の温度において約30ないし60分間焼き付けるこ
とによって、約200ないし1000人のリリース層1
2を得た。約200人未満の厚さでは、リリース層は基
板10を高い信頼性でコーティングするには薄過ぎるこ
とになる。
リリース層12の形成後、この方法を継続し、感光材料
の薄い結像層14を、たとえばスピン・コーティングに
よって、第1図に示すように塗布する。結像層14の厚
さは0.8ないし3ミクロンの範囲で十分である0層1
4の材料の例はAZ1350Jフォトレジストである。
感光材料のコーテイング後、層にはりソグラフィ・ツー
ルのパターン露出、現像、洗浄および乾燥によって希望
するパターンが形成される。説明を簡単化するため、第
1図においては、横方向寸法がAである単一の開口16
が、はぼ水平な表面18およびほぼ垂直な表面20−2
0を有する居14内に示されている0寸法Aはリソグラ
フィで得られる最小の画像の大きさである。換言すると
、幅Aはリソグラフィ(X線、電子ビームなどを含む)
の解像度を限界まで上げることによって達成できる最小
の寸法である。次に、パターンの形成された感光材料の
層に硬化処理を施し、層14を熱的に安定させる。
深紫外線露出または約1ないし2分間の約200ないし
250℃の熱処理を、硬化処理に使用することができる
。他の層14の硬化方法は、この層をハロゲン・ガス・
プラズマにさらすことである。
この硬化処理工程は、層14に以降の層を堆積させる際
に、この層14を構成している感光材料に泡が生じたり
、この層が溶融したり、流れたり、あるいは劣化するこ
とを防ぐために、公知のフォトレジストには必要である
この方法の次の工程は垂直表面20−20に側壁を確立
し、開口16の横方向寸法Aを、リソグラフィ単独で達
成できるものよりも小さくすることである。側壁技術は
以下の特許で例示されているように、公知である。本発
明の出願人の米国特許第4209349号はマスク内に
小さな開口を形成するのに、側壁技術を利用している。
この方法によれば、第1の絶縁領域が基板上に形成され
、水平および垂直表面が得られる。第1層の材料とは異
なる材料の第2の絶縁体層が貼付され、第2の絶縁体の
水平領域が除去され、この層のきわめて幅の狭い領域だ
けが、第1の絶縁体の垂直表面領域および基板のそれぞ
れの領域に残るような態様で、RIEが施される。その
後、露出した基板の領域が熱酸化され、かつ希望するマ
スクの開口を最終的に形成するために、その部分の第2
の絶縁体層の領域が除去される。米国特許第33583
40号には、側壁の像転写を使用してサブミクロンのデ
バイスを作成する方法が記載されている。
サブミクロンの厚さの導電性フィルムが分離の隣接する
表面の間の垂直なステップに堆積され、次いで、導電性
フィルムの垂直ステップに隣接した部分だけが残るよう
になるまで、垂直にエツチングされる。導電体に覆われ
ていない他の分離は除去され、これによって、M OS
 Ti界効果トランジスタのサブミクロンの幅のゲート
が得られる。本発明の出願人の米国特許第441980
9号および同第4419810号は、側壁を使用して狭
いゲートを画定することによって、自己整合電界効果ト
ランジスタを作成する方法を開示している。
米国特許第446284.6号は側壁を使用して、埋込
絶縁分離領域のバーズ・ピークを最小限のものとするこ
とを開示している0本発明の出願人の米国特許第450
2914号は、垂直壁を有する高分子材料の構造体を提
供することによって、サブミクロンの構造体を作成する
方法を記載している。この垂直壁はサブミクロンの幅の
側壁構造を作成するのに役立つものである。側壁構造は
マスクとして、直接使用される。ネガ・リソグラフィを
行なうため、他の層が側壁構造に貼付され、側壁構造の
ピーク部分が露出するまで、部分的に除去される。その
後、側壁構造自体が除去され、結果として得られる開口
が集積回路装置を製造するためのマスク開口として使用
される。
層14内の開口16の大きさを小さくするため(第2図
)、コンフォーマル層22がパターンの形成された感光
性層14、およびその内部の開口16によって露出され
たリリース層12の部分に形成される。コンフォーマル
層の材料はポリシリコン、Sl、0.、二酸化シリコン
、チッ化シリコン、オキシチッ化シリコンまたはこれら
を組み合わせたものである。一般に、コンフォーマル層
22はパターンの形成された感光性層14の劣化を生じ
させない程度の十分低い温度で堆積できる任意の材料で
ある。層22を形成するのに好ましい材料は、ヘキサメ
チルジシラザン(HMDS)のプラズマ堆積によって得
られる51xOyである。
典型的な場合、層22は第1図の構造を有する基板をプ
ラズマ堆積システム内に取り付け、液体HMDSを処理
チェンバに導入し、その内部に、液体HM D SをH
MDSプラズマに変換するのに必要な電界を発生させる
ことによって形成される。
HM D Sは第1図の構造に堆積し、5ixty化合
物を有するプラズマ堆積HM D Sの共形で均一な層
22をもたらす。層22の厚さBは感光材料層14のリ
ソグラフィ画像の大きさの希望する縮尺によって決定さ
れる。典型的な場合、超大規模集積回路の製造において
、層22の厚さは0.01ないし0.6ミクロンの範囲
である。層22の厚さの下限は、514のほぼ垂直な壁
部分20に関連するステップを良好に覆うための要件、
ならびに藩閥としての層22の可能性によって決定され
る。層22の厚さの上限は、層14内の開口16の大き
さの希望する縮小率によって決定される。
開口の大きさの縮小率は、2B/Aという係数によって
左右される。換言すると、開口の大きさが3ミクロンで
ある場合、開口16の大きさを66゜6%縮小する(孔
の実際の大きさを1ミクロンに縮小する)には、1ミク
ロンの厚さのHMDSが堆積される。コンフォーマル層
22を形成したのち、異方性エツチングを行なうことに
より、はぼ水平な表面のすべてから除去し、層14のほ
ぼ垂直な表面にだけ残るようにする。ハロゲン含有エツ
チング・ガスによって、rtlEを行なってもかまわな
い。適切なエツチング・ガスのひとつは、CF4である
。第3図は結果として得られる構造を示すものであって
、24で表わす層22の未エツチング部分は、層14の
垂直表面20上で側壁としての役割を果たす。開口の垂
直表面の内面に側壁24を確立することにより、開口1
6の大きさは第3図のCで示されている新しい寸法に縮
小される。パラメータA、BおよびCの間の関係は、C
=A−2Bで与えられる。
開口16の垂直表面に側壁24を確立したのち、縮小さ
れた開口16によって露出させられたリリース層12の
部分が、たとえば層14の水平表面からの層22の除去
を容易化したものと同じエツチング液種または02プラ
ズマのいずれがを使用したRIEによって除去される。
このようにして製造された側壁24と組み合わされた感
光性マスクは、リソグラフィ単独で得られるものよりも
相当程度縮小された寸法の開口を有する新しいマスク(
ステンシル)を構成する。
新しいマスクはさまざまな用途に役立つ。たとえば、第
4図に示すように、基板10のきわめて幅が狭く、小さ
な領域26に注入を行なうためのイオン注入マスクとし
て使用することができる。新しいマスクの他の用途は、
基板10にきわめて狭い/深いトレンチをエツチングす
るエツチング・マスクとしてのものである。他の用途は
、基板およびその上にあるステンシル構造に低温酸化を
施すことによって、幅がほぼ寸法Cに等しい、バーズ・
ピークおよびバーズ・ヘッドのない埋込絶縁分離を成長
させることである。新しいマスクのさらに他の用途は、
基板に対して高度に局在した電気接点を確立するための
、接触(剥離)マスクとしてのものである。マスクの他
の用途は、基板上に幅Cの狭い導線または絶縁体線を形
成することである。
目的とする用途の新しいマスクが完成したら、リリース
層12を利用して、マスクを基板から除去する。リリー
ス層12を適切なエツチング液、たとえば硝酸、硫酸ま
たは熱石炭酸などの熱酸化酸にさらすことによって、リ
リース層を基板の表面から剥難し、これによって重畳層
14および関連する側壁24を除去する。あるいはまた
、感光性層14およびリリース層12を、酸素プラズマ
によって同時に除去することもできる。残留する側壁2
4を、機械的手段、CF4プラズマ・エツチングまたは
液体塩基内での洗浄などによって除去する。
第5図には、リソグラフィ単独で可能なものよりも小さ
い開口を有する非腐食性のステンシルを製造する他の方
法が示されている。この方法においては、アンダレイヤ
30が基板10とリリース層12の間に形成される。(
この実施例においては、リリース層12を省いてもかま
わない。)アンダレイヤ30は感光性層14よりもかな
り厚いものである。たとえば、基板材料が半導体である
場合、アンダレイヤはポリイミドまたはフォトレジスト
などの絶縁体である。リリース層12と、第1図ないし
第4図に関連して上述した態様の側壁24を有する感光
性層14とで構成されたステンシル先駆物質を形成した
のち、この方法を改変し、アンダレイヤ30に異方性エ
ツチングを行なって、層14内の開口16をアンダレイ
ヤ30に転写して、開口32を得る。アンダレイヤがポ
リイミドの場合、このエツチングはo2プラズマを使用
して行なわれる。非腐食性マスク30の画定後、第4図
の説明で詳述したようにリリース層を剥離することによ
って、重畳構造を除去する。このようにして画定された
アンダレイヤ30は、たとえば基板10に深く、きわめ
て幅の狭いトレンチをエツチングするための厚い非腐食
性のマスクとして役立つ。このようなトレンチのひとつ
が、第5図に参照番号34で示されている。トレンチ3
4は非奮食性マスクがきわめて厚いため、はぼ完璧な垂
直壁を有している。
それ故、この発明によれば、上述の目的および利点を完
全に満たすことのできる、リソグラフィ画像の大きさを
縮小する方法が提供される。この方法によって、リソグ
ラフィ画像の大きさを、リソグラフィ・ツールの改善に
よってもたらされる改善されたりソグラフイの解像度を
超えたところまで縮小することが可能となる。換言すれ
ば、この方法を広く、しかも将来にわたって適用して、
リソグラフィの画像の解像度を、ツールの改善によって
もたらされるものよりもはるかに進歩させることが可能
となる。
F0発明の効果 この発明はりソグラフイによって可能な大きさよりも小
さなものまで、リソグラフィの解像度を拡張することに
よって、リソグラフィの画像の大きさを削減するという
要望を十分に満たすものである。
【図面の簡単な説明】
第1図ないし第4図は、リソグラフィの限界によって決
定されるものよりも小さい開口を有するマスク/ステン
シルを形成するための方法の一実施例を段階的に示す断
面図である。 第5図は、上記の図面で示した処理工程を延長したもの
の断面図である。 10・・・・基板、12・・・・リリース層、14・・
・・結像層、16.32・・・・開口、18・・・・水
平な表面、20・・・・垂直な表面、22・・・・コン
フォーマル居、24・・・・側壁、26・・・・注入領
域、30・・・・フンダレイヤ、34・・・・トレンチ
。 出願人  インターナショナル・ビジネス・マシーンズ
・コーポレーション

Claims (2)

    【特許請求の範囲】
  1. (1)リソグラフィで得られるよりも小さな開口を有す
    るマスクを形成する方法であつて、 感光材料で被覆された基板を用意し、 実質的に垂直な壁と、リソグラフィの解像度の限界によ
    って決定される最小の寸法とを有する開口を形成するた
    めに上記感光材料にパターンを形成し、 上記の垂直な壁を含む結果構造体上にコンフォーマル層
    を形成し、 上記垂直な壁上に上記コンフォーマル層の材料を残存さ
    せるように上記コンフォーマル層に異方性エッチングを
    施すこと、を特徴とするマスク形成方法。
  2. (2)上記コンフォーマル層の材料は二酸化シリコン、
    Si_xO_y、チッ化シリコン、オキシチッ化シリコ
    ン、又はポリシリコンであることを特徴とする特許請求
    の範囲第(1)項記載のマスク形成方法。
JP62117263A 1986-10-28 1987-05-15 マスク形成方法 Expired - Lifetime JP2553078B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/924,223 US4707218A (en) 1986-10-28 1986-10-28 Lithographic image size reduction
US924223 1986-10-28

Publications (2)

Publication Number Publication Date
JPS63116430A true JPS63116430A (ja) 1988-05-20
JP2553078B2 JP2553078B2 (ja) 1996-11-13

Family

ID=25449914

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62117263A Expired - Lifetime JP2553078B2 (ja) 1986-10-28 1987-05-15 マスク形成方法

Country Status (4)

Country Link
US (1) US4707218A (ja)
EP (1) EP0265638A3 (ja)
JP (1) JP2553078B2 (ja)
CA (1) CA1250669A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6144058A (en) * 1990-03-08 2000-11-07 Fujitsu Limited Layer structure having contact hole, method of producing the same, fin-shaped capacitor using the layer structure, method of producing the fin-shaped capacitor and dynamic random access memory having the fin-shaped capacitor
JP2007503720A (ja) * 2003-08-26 2007-02-22 ラム リサーチ コーポレーション フィーチャ微小寸法の低減
JP2010050376A (ja) * 2008-08-25 2010-03-04 Tokyo Electron Ltd 基板処理方法
JP2011091362A (ja) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2014138066A (ja) * 2013-01-16 2014-07-28 Canon Inc 固体撮像装置及びその製造方法
JP2017103395A (ja) * 2015-12-03 2017-06-08 三菱電機株式会社 半導体装置の製造方法
US9806124B2 (en) 2013-01-16 2017-10-31 Canon Kabushiki Kaisha Solid state image pickup apparatus and method for manufacturing the same

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5114530A (en) * 1985-10-31 1992-05-19 Texas Instruments Incorporated Interlevel dielectric process
JPS6376330A (ja) * 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
US4987090A (en) * 1987-07-02 1991-01-22 Integrated Device Technology, Inc. Static ram cell with trench pull-down transistors and buried-layer ground plate
JPS6450425A (en) * 1987-08-20 1989-02-27 Toshiba Corp Formation of fine pattern
US4764484A (en) * 1987-10-08 1988-08-16 Standard Microsystems Corporation Method for fabricating self-aligned, conformal metallization of semiconductor wafer
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4812418A (en) * 1987-11-27 1989-03-14 Motorola, Inc. Micron and submicron patterning without using a lithographic mask having submicron dimensions
IT1225631B (it) * 1988-11-16 1990-11-22 Sgs Thomson Microelectronics Rastremazione di fori attraverso strati dielettrici per formare contatti in dispositivi integrati.
US5086248A (en) * 1989-08-18 1992-02-04 Galileo Electro-Optics Corporation Microchannel electron multipliers
US5205902A (en) * 1989-08-18 1993-04-27 Galileo Electro-Optics Corporation Method of manufacturing microchannel electron multipliers
EP0416809A3 (en) * 1989-09-08 1991-08-07 American Telephone And Telegraph Company Reduced size etching method for integrated circuits
US5015323A (en) * 1989-10-10 1991-05-14 The United States Of America As Represented By The Secretary Of Commerce Multi-tipped field-emission tool for nanostructure fabrication
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR920020676A (ko) * 1991-04-09 1992-11-21 김광호 반도체 장치의 소자분리 방법
US5382483A (en) * 1992-01-13 1995-01-17 International Business Machines Corporation Self-aligned phase-shifting mask
JPH05243223A (ja) * 1992-02-28 1993-09-21 Fujitsu Ltd 集積回路装置の製造方法
JP3311044B2 (ja) * 1992-10-27 2002-08-05 株式会社東芝 半導体装置の製造方法
DE4345413C2 (de) * 1992-11-27 2003-09-18 Mitsubishi Electric Corp Herstellungsverfahren für eine Halbleitervorrichtung mit einer Isolierschicht, in der ein Kontaktloch gebildet ist
JP2787646B2 (ja) 1992-11-27 1998-08-20 三菱電機株式会社 半導体装置の製造方法
JP3324832B2 (ja) * 1993-07-28 2002-09-17 三菱電機株式会社 半導体装置およびその製造方法
EP0655773A1 (en) * 1993-10-27 1995-05-31 STMicroelectronics S.r.l. Lithographic image size reduction
US5435888A (en) * 1993-12-06 1995-07-25 Sgs-Thomson Microelectronics, Inc. Enhanced planarization technique for an integrated circuit
US5439846A (en) * 1993-12-17 1995-08-08 Sgs-Thomson Microelectronics, Inc. Self-aligned method for forming contact with zero offset to gate
US6107194A (en) * 1993-12-17 2000-08-22 Stmicroelectronics, Inc. Method of fabricating an integrated circuit
US6284584B1 (en) 1993-12-17 2001-09-04 Stmicroelectronics, Inc. Method of masking for periphery salicidation of active regions
US5532089A (en) * 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
EP0674223B1 (en) * 1994-02-14 1997-05-02 International Business Machines Corporation An attenuating phase-shift mask structure and fabrication method
US5618383A (en) * 1994-03-30 1997-04-08 Texas Instruments Incorporated Narrow lateral dimensioned microelectronic structures and method of forming the same
US5665997A (en) * 1994-03-31 1997-09-09 Texas Instruments Incorporated Grated landing area to eliminate sticking of micro-mechanical devices
US5455131A (en) * 1994-04-25 1995-10-03 Goldstar Electron Co., Ltd. Method for fabrication of lithography mask
JP2882301B2 (ja) * 1995-01-13 1999-04-12 日本電気株式会社 半導体装置の製造方法
US5972773A (en) * 1995-03-23 1999-10-26 Advanced Micro Devices, Inc. High quality isolation for high density and high performance integrated circuits
KR0151048B1 (ko) * 1995-05-24 1998-12-01 김광호 반도체 장치의 접촉창 형성방법
KR0151051B1 (ko) * 1995-05-30 1998-12-01 김광호 반도체장치의 절연막 형성방법
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US5648684A (en) * 1995-07-26 1997-07-15 International Business Machines Corporation Endcap chip with conductive, monolithic L-connect for multichip stack
US5691248A (en) * 1995-07-26 1997-11-25 International Business Machines Corporation Methods for precise definition of integrated circuit chip edges
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
KR0161430B1 (ko) * 1995-08-31 1999-02-01 김광호 스페이서를 이용한 트렌치 형성방법
WO1997010612A1 (en) * 1995-09-14 1997-03-20 Advanced Micro Devices, Inc. Damascene process for reduced feature size
US6064104A (en) * 1996-01-31 2000-05-16 Advanced Micro Devices, Inc. Trench isolation structures with oxidized silicon regions and method for making the same
US5946566A (en) * 1996-03-01 1999-08-31 Ace Memory, Inc. Method of making a smaller geometry high capacity stacked DRAM device
JP2778579B2 (ja) * 1996-04-25 1998-07-23 日本電気株式会社 半導体装置
ATE251341T1 (de) * 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US6190829B1 (en) 1996-09-16 2001-02-20 International Business Machines Corporation Low “K” factor hybrid photoresist
US6114082A (en) 1996-09-16 2000-09-05 International Business Machines Corporation Frequency doubling hybrid photoresist having negative and positive tone components and method of preparing the same
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6218704B1 (en) 1997-05-07 2001-04-17 International Business Machines Corporation ESD protection structure and method
US5882967A (en) * 1997-05-07 1999-03-16 International Business Machines Corporation Process for buried diode formation in CMOS
US5861330A (en) * 1997-05-07 1999-01-19 International Business Machines Corporation Method and structure to reduce latch-up using edge implants
US5981148A (en) * 1997-07-17 1999-11-09 International Business Machines Corporation Method for forming sidewall spacers using frequency doubling hybrid resist and device formed thereby
US5972570A (en) * 1997-07-17 1999-10-26 International Business Machines Corporation Method of photolithographically defining three regions with one mask step and self aligned isolation structure formed thereby
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6080672A (en) * 1997-08-20 2000-06-27 Micron Technology, Inc. Self-aligned contact formation for semiconductor devices
US5776821A (en) * 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US5801083A (en) * 1997-10-20 1998-09-01 Chartered Semiconductor Manufacturing, Ltd. Use of polymer spacers for the fabrication of shallow trench isolation regions with rounded top corners
US6103596A (en) * 1998-02-19 2000-08-15 Taiwan Semiconductor Manufacturing Company Process for etching a silicon nitride hardmask mask with zero etch bias
US6184041B1 (en) 1998-05-13 2001-02-06 International Business Machines Corporation Fused hybrid resist shapes as a means of modulating hybrid resist space width
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
US6258642B1 (en) * 1999-05-20 2001-07-10 Advanced Micro Devices, Inc. Use of functional memory cells as guard cells in a semiconductor memory
US6228727B1 (en) 1999-09-27 2001-05-08 Chartered Semiconductor Manufacturing, Ltd. Method to form shallow trench isolations with rounded corners and reduced trench oxide recess
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6277704B1 (en) 2000-05-24 2001-08-21 Micron Technology, Inc. Microelectronic device fabricating method, method of forming a pair of conductive device components of different base widths from a common deposited conductive layer
EP2264522A3 (en) 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
KR20030040378A (ko) 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
WO2002067055A2 (en) * 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
GB2369453B (en) * 2000-11-24 2002-07-31 Bookham Technology Plc Fabrication of integrated circuit
JP3506248B2 (ja) * 2001-01-08 2004-03-15 インターナショナル・ビジネス・マシーンズ・コーポレーション 微小構造の製造方法
GB0104611D0 (en) * 2001-02-23 2001-04-11 Koninkl Philips Electronics Nv Printing plates
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6699792B1 (en) * 2001-07-17 2004-03-02 Advanced Micro Devices, Inc. Polymer spacers for creating small geometry space and method of manufacture thereof
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
US6750150B2 (en) 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US6555442B1 (en) 2002-01-08 2003-04-29 Taiwan Semiconductor Manufacturing Company Method of forming shallow trench isolation with rounded corner and divot-free by using disposable spacer
US6828082B2 (en) * 2002-02-08 2004-12-07 Chartered Semiconductor Manufacturing Ltd. Method to pattern small features by using a re-flowable hard mask
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7169711B1 (en) * 2002-06-13 2007-01-30 Advanced Micro Devices, Inc. Method of using carbon spacers for critical dimension (CD) reduction
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6780708B1 (en) 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US7154086B2 (en) * 2003-03-19 2006-12-26 Burle Technologies, Inc. Conductive tube for use as a reflectron lens
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
CN1316558C (zh) * 2003-08-19 2007-05-16 旺宏电子股份有限公司 缩小半导体组件的单元间距的方法
US7136150B2 (en) 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
WO2005045911A1 (ja) * 2003-11-11 2005-05-19 Asahi Glass Company, Limited パターン形成方法、およびこれにより製造される電子回路、並びにこれを用いた電子機器
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7449348B1 (en) * 2004-06-02 2008-11-11 Advanced Micro Devices, Inc. Feedback control of imprint mask feature profile using scatterometry and spacer etchback
KR20060008555A (ko) * 2004-07-21 2006-01-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
DE102004050269A1 (de) * 2004-10-14 2006-04-20 Institut Für Solarenergieforschung Gmbh Verfahren zur Kontakttrennung elektrisch leitfähiger Schichten auf rückkontaktierten Solarzellen und Solarzelle
WO2006060757A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7465525B2 (en) * 2005-05-10 2008-12-16 Lam Research Corporation Reticle alignment and overlay for multiple reticle process
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
KR100674982B1 (ko) * 2005-07-06 2007-01-29 삼성전자주식회사 반도체 소자의 제조방법
US7083898B1 (en) * 2005-07-07 2006-08-01 International Business Machines Corporation Method for performing chemical shrink process over BARC (bottom anti-reflective coating)
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
JP4987012B2 (ja) 2005-12-08 2012-07-25 モレキュラー・インプリンツ・インコーポレーテッド 基板の両面パターニングする方法及びシステム
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
JP4509050B2 (ja) * 2006-03-10 2010-07-21 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
US20080073516A1 (en) * 2006-03-10 2008-03-27 Laprade Bruce N Resistive glass structures used to shape electric fields in analytical instruments
JP4883278B2 (ja) * 2006-03-10 2012-02-22 信越化学工業株式会社 フォトマスクブランク及びフォトマスクの製造方法
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8615663B2 (en) * 2006-04-17 2013-12-24 Broadcom Corporation System and method for secure remote biometric authentication
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
JP4737426B2 (ja) 2006-04-21 2011-08-03 信越化学工業株式会社 フォトマスクブランク
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
KR100866723B1 (ko) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
JP2009004535A (ja) * 2007-06-21 2009-01-08 Toshiba Corp パターン形成方法
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
WO2009085564A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
US8435874B2 (en) * 2008-01-23 2013-05-07 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and a semiconductor device fabricated by the method
US20140315371A1 (en) * 2013-04-17 2014-10-23 International Business Machines Corporation Methods of forming isolation regions for bulk finfet semiconductor devices
US9837304B2 (en) 2015-06-24 2017-12-05 Tokyo Electron Limited Sidewall protection scheme for contact formation
KR102379165B1 (ko) 2015-08-17 2022-03-25 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US10381448B2 (en) 2016-05-26 2019-08-13 Tokyo Electron Limited Wrap-around contact integration scheme
US10217670B2 (en) 2016-09-07 2019-02-26 Tokyo Electron Limited Wrap-around contact integration scheme
US10442727B2 (en) * 2017-01-05 2019-10-15 Magic Leap, Inc. Patterning of high refractive index glasses by plasma etching
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
CN109103077A (zh) * 2018-08-30 2018-12-28 深圳基本半导体有限公司 离子注入方法及掩膜层结构
DE102020117171A1 (de) * 2020-06-30 2021-12-30 Infineon Technologies Dresden GmbH & Co. KG Lateral-transistor mit selbstausrichtendem body-implantat

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5788746A (en) * 1980-11-25 1982-06-02 Fujitsu Ltd Preparation of semiconductor device
JPS5868930A (ja) * 1981-10-20 1983-04-25 Fujitsu Ltd 半導体装置の製造方法
JPS59197137A (ja) * 1983-04-25 1984-11-08 Fujitsu Ltd 半導体装置の製造方法
JPS59205765A (ja) * 1983-05-09 1984-11-21 Nec Corp 半導体装置の製造方法
JPS62194624A (ja) * 1986-02-21 1987-08-27 Oki Electric Ind Co Ltd 微細パタ−ンの形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3358340A (en) 1965-10-23 1967-12-19 Davis Aircraft Products Inc Bridle buckle
US4209349A (en) * 1978-11-03 1980-06-24 International Business Machines Corporation Method for forming a narrow dimensioned mask opening on a silicon body utilizing reactive ion etching
US4256514A (en) * 1978-11-03 1981-03-17 International Business Machines Corporation Method for forming a narrow dimensioned region on a body
US4462846A (en) * 1979-10-10 1984-07-31 Varshney Ramesh C Semiconductor structure for recessed isolation oxide
US4358340A (en) * 1980-07-14 1982-11-09 Texas Instruments Incorporated Submicron patterning without using submicron lithographic technique
DE3103615A1 (de) * 1981-02-03 1982-09-09 Siemens AG, 1000 Berlin und 8000 München Verfahren zur erzeugung von extremen feinstrukturen
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4419810A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Self-aligned field effect transistor process
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
CA1260754A (en) * 1983-12-26 1989-09-26 Teiji Majima Method for forming patterns and apparatus used for carrying out the same
JPH0665225B2 (ja) * 1984-01-13 1994-08-22 株式会社東芝 半導体記憶装置の製造方法
FR2566179B1 (fr) * 1984-06-14 1986-08-22 Commissariat Energie Atomique Procede d'autopositionnement d'un oxyde de champ localise par rapport a une tranchee d'isolement
US4599790A (en) * 1985-01-30 1986-07-15 Texas Instruments Incorporated Process for forming a T-shaped gate structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5788746A (en) * 1980-11-25 1982-06-02 Fujitsu Ltd Preparation of semiconductor device
JPS5868930A (ja) * 1981-10-20 1983-04-25 Fujitsu Ltd 半導体装置の製造方法
JPS59197137A (ja) * 1983-04-25 1984-11-08 Fujitsu Ltd 半導体装置の製造方法
JPS59205765A (ja) * 1983-05-09 1984-11-21 Nec Corp 半導体装置の製造方法
JPS62194624A (ja) * 1986-02-21 1987-08-27 Oki Electric Ind Co Ltd 微細パタ−ンの形成方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6144058A (en) * 1990-03-08 2000-11-07 Fujitsu Limited Layer structure having contact hole, method of producing the same, fin-shaped capacitor using the layer structure, method of producing the fin-shaped capacitor and dynamic random access memory having the fin-shaped capacitor
US6528369B1 (en) 1990-03-08 2003-03-04 Fujitsu Limited Layer structure having contact hole and method of producing same
JP2007503720A (ja) * 2003-08-26 2007-02-22 ラム リサーチ コーポレーション フィーチャ微小寸法の低減
JP4886513B2 (ja) * 2003-08-26 2012-02-29 ラム リサーチ コーポレーション フィーチャ微小寸法の低減
JP2010050376A (ja) * 2008-08-25 2010-03-04 Tokyo Electron Ltd 基板処理方法
JP2011091362A (ja) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2014138066A (ja) * 2013-01-16 2014-07-28 Canon Inc 固体撮像装置及びその製造方法
US9806124B2 (en) 2013-01-16 2017-10-31 Canon Kabushiki Kaisha Solid state image pickup apparatus and method for manufacturing the same
JP2017103395A (ja) * 2015-12-03 2017-06-08 三菱電機株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
CA1260627C (ja) 1989-09-26
JP2553078B2 (ja) 1996-11-13
EP0265638A3 (en) 1988-10-05
CA1250669A (en) 1989-02-28
US4707218A (en) 1987-11-17
EP0265638A2 (en) 1988-05-04

Similar Documents

Publication Publication Date Title
JPS63116430A (ja) マスク形成方法
US4871630A (en) Mask using lithographic image size reduction
US6291137B1 (en) Sidewall formation for sidewall patterning of sub 100 nm structures
US4648937A (en) Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
JP3407086B2 (ja) 半導体装置の製造方法
US7105442B2 (en) Ashable layers for reducing critical dimensions of integrated circuit features
US6423475B1 (en) Sidewall formation for sidewall patterning of sub 100 nm structures
KR100415088B1 (ko) 반도체장치의 제조방법
US20030064585A1 (en) Manufacture of semiconductor device with spacing narrower than lithography limit
JPH0471334B2 (ja)
US6214737B1 (en) Simplified sidewall formation for sidewall patterning of sub 100 nm structures
JP3585039B2 (ja) ホール形成方法
US5366848A (en) Method of producing submicron contacts with unique etched slopes
KR950014945B1 (ko) 반도체소자의 미세패턴 형성방법
CA1260627A (en) Lithographic image size reduction photomask
KR20020066373A (ko) 레지스트 패턴 형성 방법 및 미세 패턴 형성 방법
KR100275934B1 (ko) 반도체장치의 미세도전라인 형성방법
JPS63258020A (ja) 素子分離パタ−ンの形成方法
KR940001229B1 (ko) 반도체 장치의 제조방법
KR100396689B1 (ko) 반도체소자의게이트제조방법
KR20050068363A (ko) 하드 마스크를 이용한 미세 패턴 형성 방법
KR100313944B1 (ko) 고선택비의 금속배선 식각 방법
KR100281147B1 (ko) 콘택홀 형성방법
JPH0282527A (ja) 半導体装置の製造方法
KR19990003876A (ko) 셀 프로젝션 마스크 제작 방법