JPS62105426A - サブミクロン寸法のマスク構造を形成する方法 - Google Patents

サブミクロン寸法のマスク構造を形成する方法

Info

Publication number
JPS62105426A
JPS62105426A JP61230241A JP23024186A JPS62105426A JP S62105426 A JPS62105426 A JP S62105426A JP 61230241 A JP61230241 A JP 61230241A JP 23024186 A JP23024186 A JP 23024186A JP S62105426 A JPS62105426 A JP S62105426A
Authority
JP
Japan
Prior art keywords
layer
spacer
etching
stop
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP61230241A
Other languages
English (en)
Other versions
JPH0529133B2 (ja
Inventor
セイキ・オグラ
ヤコブ・ライズマン
ニヴオ・ロヴエド
ロナルド・ノーマン・シユルツ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS62105426A publication Critical patent/JPS62105426A/ja
Publication of JPH0529133B2 publication Critical patent/JPH0529133B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/945Special, e.g. metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/951Lift-off

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A、産業上の利用分野 この発明は、サブミクロンの寸法を有する構造の製造に
おいて、側壁像転写時の、線の非対象エツチングを防止
する方法に関するものである。この発明はまだ、シリコ
ン基体中に、サブミクロン線幅を有する深い誘電体分離
溝を形成することにも関するものでもある。
B、従来技術および発明が解決しようとする問題点 集積回路の性能および集積度を高めるためには多くの方
法が知られている。最近、主としてリングラフィの欠陥
密度を減らすことにより、集積度がかなり高められてい
る。従来用いられた光線に代って電子またはX線露光法
を用いることにより、これまでより高い光学的解像度が
得られる。コストの高い電子およびX線リングラフィを
さげて、従来のりソグラフイを拡大することにより、1
ミクロン以下の非常に細い線を得る方法も知られている
。金属、半導体および誘電材料をエツチングするだめの
、プラズマ・エツチングまたは反応性イオン・エツチン
グにより、非常に細い幅ヲ、シたがって高性能、高密度
を1指した開発が行われて来た。
多数の出版物および特許明細書に、ザブミクロン線の構
造を形成するだめの、いわゆる側壁技術が記載されてい
る。T E E Eエレクトロン・デバイス・レターズ
(Electron Device Letters 
)、Vol、 EDL2. No、 1.1981年1
月、p、i〜乙に、縁部で画定され、ザブミクロン線の
71°法へで有するMO8FETケ製造するのに、垂直
(異)j性)乾式エツチングを適用する方法が記載され
ている。この技術により、0.171(いt、0.15
  ミクロンの範囲の物理的チャネル長が形成さ才する
米国特許第435834[1′rj明細田には、ザブミ
クロン・リソグラフィを使用せず、垂直ステップを横切
って、分離帯の隣接する表面間に、ザブミクロン線の寸
法の薄い導電性皮膜を付着させ、次に導電性皮膜の垂直
ステップに隣接す2)部分だけが残るまで垂直にエツチ
ングを行うことによる、サブミクロン線装置を製造する
方法が記載されている。導体でネ1シ覆されていない残
った分離帯を除去して、最初に付着させ/ζ薄膜の層の
厚みと等1−いザブミクロンの幅のMO8電界効果トラ
ンジスタのゲートが得られる。
米国特許第4209349号明細書には、半導体回路装
置を製造用の、非常に小さいマスク開口部を形成させる
方法が開示されている。この方法によれば、基板上に第
1の絶縁領域を形成して、はぼ水平な表面ならびに、は
ぼ垂直な表面を得る。
その上に、第1の絶縁層とは異なる材質の第2の絶縁層
を付着させ、第1の絶縁層の垂直平面領域、および基板
の各領域にそれぞれ非常に狭い領域のみを残して、第2
の絶縁層の水平領域を除去するように反応性イオン・エ
ツチングを行う。次に基板の露出した部分を熱酸化し、
最後に所期のマスク開口部を形成するために、第2の絶
縁層のその部分を除去する。この方法により、これまで
に適用されたフォトリソグラフィの場合よりも小さい最
小寸法が得られる。
米国特許第4209349号明細書の方法では、第1の
絶縁層(二酸化シリコン)が、マスクの位置および厚み
を決定する(第2欄、第62行)。
絶縁層の開口部はすべて、標準のフォトリソグラフィお
よびエツチング技術により形成されるが、(第6欄、第
21行)この方法では垂直側壁は形成できない。しかし
、本発明によって開示される方法では、重合体層がマス
クの位置および厚みを決定する。前記米国特許の方法で
は、たとえば約1000°Cでの窒化シリコンの化学蒸
着等θ)高温法のみを使用するが(第5欄、i’4% 
69行)、本発明が開示する方法では、300°C未満
のいわゆる低温法が行われ、本方法の方が用途がずっと
広い。
前記米国特許の方法によれば、厚みがDI5ミクロン未
満の層ハマスクが得られるが、これはたとえば深い溝の
エツチングのマスクとしては適当ではない。しかし、本
発明の方法によれば、2〜6ミクロンの厚みのマスクが
形成され、シリコン基板に深さ4〜5ミクロンの溝をエ
ツチングするのに使用できる。熱酸化(米国特許284
欄、第64行)により、溝の表面」二に平坦でない二酸
化シリコンが形成されるためバーズ、ピークの問題を伴
う非対称マスクが形成される。このマスクは、この理由
でも溝のエツチングに使用することができt「い。
本発明によって形成したマスクを土、厳密に垂直な側壁
を有し、対称的な構造を有する。
これらの方法に共通する特徴は、垂直側壁を有(−、サ
ブミクロン線の寸法の構造を形成するために多結晶シリ
コン、窒化シリコン、二酸化シリコン等の材料を、すべ
て高温で付着させる。同温製造に伴う問題点は、この分
野の文書に十分に記載されている。
側壁像転写法におけるも51つの問題点は、その上にス
ペーサが形成される下層までエツチングが及ぶのをさけ
難いことである。これは第3A図乃至3F図および第4
A図乃至4F図fX:参照して説明することができる。
基本的に、第6A図に示すように、最初にある材料に垂
直ステップロチエツチングし、次に、第3B図に示すよ
うに、他の材料、即ちスペーサ材料の共形層を第1の層
の上に付着させる。反応性イオン・エツチング(RTE
)を用いて、スペーサ材料の異方性エツチングを行う。
これにより、ステップ材料の縁部に接1〜で第2の旧料
の残ったスペーサが形成される。これを第6C図に示す
次に、第3D図に示すように、スペーサだけが自立して
残るように、ステップ材料を除去する。
これは第1D図に示す。自立スペーサは、第3E図に示
すように、下層をエツチングで除去するだめのマスクと
して用いることができる。1この方法は広く証明されて
いるように、真下にある材料に対するスペ−1−のエツ
チングの選択411−に問題がある。旧材及びIt I
 ICエツチング・ガスの数には限りがあり、従って、
スペーサを形成する際に下層までエツチングが及ぶのを
さけ難い。
第4A図は、スペーサ材料のエツチングをその真下の材
料の所でとめるための方法を示す。第4A図で、点線は
スペーサ材料の元の厚みを示し、矢印は理想的なエツチ
ングの深さを示す。したがって、理想的にはこれは第3
C図の場合と一致する。
しかし実際には、通常CF4’&用いたエツチングで、
下層中のスペーサの一方の側と反対側との間に段、すな
わちオフセラl−を生ずる。これを第4B図に示す。段
が生じるのrl:、スペーサの片()III Uステッ
プ材料で覆われているが、反対側はエッチング剤に対し
て露出しているためである。この問題は、RI E系と
スペーサ材料刊着系が不均一性なときより長いオーバ・
エツチング時間が必要なため一層悪化する。
ステップ材料を除去して得られた構造を第4C図に示す
。すなわち、ステップ材料を除去すると下層材料より下
にあるどの層にもオフセットが伝蒲する。これは下層材
料がエツチングされると生じ、一方の側が反対側よりも
厚くなる。このオフセットの様子を第4D図に示す。
説明のため、FETの製造を例にとる。下層はS + 
02で、そのすぐ下に多結晶シリコンがある。
多結晶シリコンの下には、約140オングストロームの
薄いゲート酸化物が付着されている。既知の技術を用い
ると、多結晶シリコンの一方の側のエツチングが終了し
ても、反対側は終了しない。
したがって、オーバ・エツチングが始まり、ゲート酸化
物がカットオフされる。ゲート酸化物がエツチングしつ
くされると、その下のシリコンが急速にエツチングされ
る。その結果、装置が非対称にt【る。これを第4E図
および第4F図に示す。
この問題を解決するたy〕の方法が、米国特許第443
2132号および第4449287け明細書に示されて
いる。しか[7どちらの明細書にも、沈下すなわちオフ
セットをさける問題についてはふれられていない。より
一般的に、サブミクロン技術を対象とした他の技術が、
米国特許第4096506号、第4654896号、お
よび第4685975号明細書に開示されている。これ
らは一般に興味深い方法であるが、これらの参考文献で
も、第4A図乃至4F図に関して説明した問題点は解決
されていない。
C9問題点を解決するだめの方法 従来技術の欠点にかんがみて、この発明の目的は、スペ
ーサの、その下にある月別に対するエツチングの必要な
選択性を提供することにある。この発明によれば、ステ
ップ材料の下にエッチ・ストップを設ける。一般に、ス
ペーサ材料はプラズマ付着させた窒化シリコンであり、
ステップ材料はフォトレジストである。この発明によれ
ば、多結晶シリコン、またはアルミニウムもしくは類似
の金属が、スペーサ形成用のエツチング剤の影響を受け
ないだめ、エッチ・ストップとして用いられる。
この発明のもう一つの目的は、先ず金属層の上にザブミ
クロン線のスペーサを形成させることにより、極めて狭
い開口部、すなわち溝を形成させることにある。この組
合わせを酸化性雰囲気(陽極酸化、または、02 プラ
ズマへの露出)に置くと、露出1ツた金属層が金属酸化
物の皮膜を形成し、スペーサを除去すると、ウェーハの
エツチングによって、露出した金属層に極めて細い線が
エツチングされ、エッチ・マスクとして有用となる。
D、実施例 第1A図ないし第1E図を参照して、スペーサ形成時に
下層がエツチングされない。この発明の詳細な説明する
。一般に、この発明は、ステップ材料の下に適当なエッ
チ・ストップを使用する。
エッチ・ストップは一般に多結晶シリコンでも、アルミ
ニウムまたは類似の金属でも3しい。スペーサ材料は、
プラズマ付着させた81XMyO□、ふつうは813N
4であり、ステップ拐ネ′81はフォl−Lルストであ
る。これを第1A図に示す。こ才t l二)の材料を用
いる場合、アルミニウムがエッチ・ストップとして適し
ている。これはスペーサ形成に用いるCF4等のエツチ
ング剤の影響を受はフ、「いためである。第1A図に示
すアルミニウム層は極めて薄いもので、通常1500オ
ングストローム以下である。
第31図に示すように、その下の多結晶シリコン用のマ
スクとなるSiO2層の−1−面にアルミニウムを付着
させる。この技術によれば、酸化物層はスペーサによっ
てパターン+1げされる。2f< I B図に示すよう
に、スペーサ材料はエツチングで除去されるが、アルミ
ニウムはCF4中でエツチングされない。即ちRIE技
術を使って、スペーサを形成すると、アルミニウムのエ
ッチ・ストップが存在するとき、スペーサの両側にオフ
セットができない。
第1C図は次の工程、すなわち、アルミニウムを異方性
エツチングするが、下の8102には影響を与えない、
塩素をベースとする(ccp4またはCX2)高速RI
Eを示す。次に、RIEによってさらに5102をエツ
チングする。得られた構造の一部を第1D図に示す。第
1D図の構造は、アルミニウムの湿式エツチングにより
、スペーサおよび残ったアルミニウムの下層を「ポツプ
・オフ」させることによって完成する。これにより、多
結晶シリコン層の上面に、SiO2酸化物の線だけが残
る。
すなわち、アルミニウムの湿式エツチングによって、ス
ペーサのアンダーカットが生じ、S+02に影響を与え
ずに、スペーサが剥離される。第1A図乃至1E図に示
すように、酸化物の線の両側にオフセットは生じない。
したがって、この方法を実施することによって、得られ
る側壁構造は、絶縁層中に形成された極めて細い、サブ
ミクロン線の寸法のマスク構造である。得られたマスク
構造を使って、エツチング拡散、イオン注入等により、
基板中に多数σ)ザブミクロン線の構造ケ形成すること
ができる。上記の方法は、従来技術で重要な問題であっ
た、下層材料中の段、すなわちオフセットの問題を起す
ことなく達成される。
前述のように、多結晶シリコンもエッチ・ストップとし
て使用することができる。多結晶シリコンを用いる加工
法は、金属の場合とほぼ反じでル)る。)(I EはC
22をベースとしたエツチング剤を使用し、スペーサを
「ホップ・オフ−1するには湿式エツチングが必要でt
「い。スペーサは、熱リン酸で除去し、多結晶シリコン
は、これより厚い多結晶シリコンの下層の除去と同時に
除去する。
次に第2八図ないし第2T′)図を参照して、ザブミク
ロン線の細い溝を形成する方法について説明する。前述
のように、この発明によれば、プラズマ構成物質と反応
して揮発性反応生成物を生成しない材料は、そのプラズ
マによってエツチングされない。したがって、A℃F3
等のフッ素含有プラズマは、潜在的なhl生成物である
。これは不揮発f]゛で、したがってエツチングされ7
fい。この方法でエッチ・ストップとして用いることが
できる月」」には多くのものがある。たとえば、クロム
はNFお、LびA、CRの両方を含むプラズマの場合に
使用することができる。しかし、02 が存在してはな
らない。MgF2、COF2等、他の材料も使用が口丁
能でル)る。
この一般的な方法により、最終的にサブミクロン線の細
い構を形成する中間工程として、側壁構造を形成するこ
とが可能である。第2A図で、まずAfiまたは類似の
金属上に第1A図乃至第1E図に関連して説明した側壁
を形成させる。次にスペーサと金属層の複合物を短時間
、陽極酸化等の酸化性雰囲気に露出すると、下層のA℃
が影響を受けずに残る。これを第2B図に示す。スペー
サのある部分を除いて、Al2O3「皮膜」が形成され
る。次に、プラズマ、または化学的除去法を用いて、ス
ペーサの除去を行う。一般に、yu2.o3皮膜を除去
せずに、スペーサのみを除去するために、温度ケ制御し
た熱ll3P04が使用できる。これを第2C図に示す
。この結果AN203皮膜とともに、露出したiが得ら
jする。次に、周知のAQ。
エツチングに51=つてウェーハをエツチングすると、
露出した不働態化しないA31−、にパターンイχ1げ
された細い線がエツチングされる。このように、不動化
したA42層はエッチ・マスクとして有用であり、溝の
形成に使用することができる。Al2O2と、下のAQ
とは、影響を受けず、周知の化学的方法によってほぼ除
去することができる。
E1発明の効果 オフセットの問題を起すことなく、基板中に多数のザブ
ミクロン線の構造を形成することができる。
【図面の簡単な説明】
第1A図ないし第1E図はスペーサ形成時に、下層がエ
ツチングされない本発明の第1の実施例を示す図、第2
A図ない]−第2D図((1,この発明を用いて細いサ
ブミクロン線の溝を形成する方法ケ使った第2の実施例
を示す図、第6八図ないし第6E図は側壁像転写方法を
示す概略図、第4A図7:cいし第4F図はスペーサ形
成時に、下層までエツチングされる側壁像転写方法を示
す図である。 1・・・・ステップ材料、2・・・・スペーサ材料。 出願人  インターナショナル・ビジネス・マシーンズ
・コーポレーション復代理人 弁理士  篠   1)
  文   雄7′1  ス付−寸

Claims (3)

    【特許請求の範囲】
  1. (1)半導体基板上に、サブミクロン線のマスク構造を
    形成する方法であつて、 上記基板の主表面上に絶縁層を形成し、 上記絶縁層上にストップ層を形成し、 上記ストップ層の選択した区域上にステップ材料層を形
    成し、 上記絶縁層および上記ステップ材料をスペーサ材料で被
    覆し、 上記選択性エッチングを上記ストップ層によつて積極的
    にとめながら、上記スペーサ材料の一部分を、選択性エ
    ッチングで除去して、上記マスク構造を形成すべき位置
    に垂直なスペーサを画定し、上記ストップ層の露出部分
    を選択性エッチングにより除去して、絶縁層はエッチン
    グせずにスペーサ・パターンを画定し、 上記絶縁層を除去して、スペーサ材料、ストップ材料お
    よび絶縁材料からなる三層構造を有する上記基板の上記
    主表面上にスペーサ・パターンを画定し、 前記スペーサ材料の下に残つたストップ層、および上記
    スペーサ材料を除去して、残存する絶縁材料の、サブミ
    クロン線のマスク構造を残すことを特徴とする方法。
  2. (2)上記絶縁材料が二酸化シリコンであることを特徴
    とする、特許請求範囲第(1)項の方法。
  3. (3)上記ストップ層が、上記ストップを除去するのに
    用いるエッチング剤に影響されない金属であることを特
    徴とする、特許請求範囲第(1)項の方法。
JP61230241A 1985-10-30 1986-09-30 サブミクロン寸法のマスク構造を形成する方法 Granted JPS62105426A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/792,931 US4648937A (en) 1985-10-30 1985-10-30 Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
US792931 1985-10-30

Publications (2)

Publication Number Publication Date
JPS62105426A true JPS62105426A (ja) 1987-05-15
JPH0529133B2 JPH0529133B2 (ja) 1993-04-28

Family

ID=25158523

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61230241A Granted JPS62105426A (ja) 1985-10-30 1986-09-30 サブミクロン寸法のマスク構造を形成する方法

Country Status (4)

Country Link
US (1) US4648937A (ja)
EP (1) EP0223994B1 (ja)
JP (1) JPS62105426A (ja)
DE (1) DE3688042T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2006070474A1 (ja) * 2004-12-28 2008-06-12 スパンション エルエルシー 半導体装置の製造方法
US7456481B2 (en) 2003-10-10 2008-11-25 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4743565A (en) * 1981-03-30 1988-05-10 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
DE3602461A1 (de) * 1986-01-28 1987-07-30 Telefunken Electronic Gmbh Verfahren zum herstellen eines sperrschicht-feldeffekttransistors
US4689869A (en) * 1986-04-07 1987-09-01 International Business Machines Corporation Fabrication of insulated gate gallium arsenide FET with self-aligned source/drain and submicron channel length
US4735681A (en) * 1986-08-15 1988-04-05 Motorola Inc. Fabrication method for sub-micron trench
FR2607600A1 (fr) * 1986-11-28 1988-06-03 Commissariat Energie Atomique Procede de realisation sur un substrat d'elements espaces les uns des autres
GB2198393B (en) * 1986-12-13 1990-06-06 Spectrol Reliance Ltd Method of producing filaments
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4851368A (en) * 1987-12-04 1989-07-25 Cornell Research Foundation, Inc. Method of making travelling wave semi-conductor laser
JPH01248524A (ja) * 1988-03-30 1989-10-04 Hitachi Ltd 半導体装置とその製造方法
US4992939A (en) * 1988-08-05 1991-02-12 Tyler Brian G Method of producing narrative analytical report
DE3888184D1 (de) * 1988-11-17 1994-04-07 Ibm Verfahren zur Herstellung von Masken mit Strukturen im Submikrometerbereich.
EP0394597A1 (en) * 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
US5223914A (en) * 1989-04-28 1993-06-29 International Business Machines Corporation Follow-up system for etch process monitoring
US5010039A (en) * 1989-05-15 1991-04-23 Ku San Mei Method of forming contacts to a semiconductor device
EP0416141A1 (de) * 1989-09-04 1991-03-13 Siemens Aktiengesellschaft Verfahren zur Herstellung eines FET mit asymmetrisch angeordnetem Gate-Bereich
FR2669466B1 (fr) * 1990-11-16 1997-11-07 Michel Haond Procede de gravure de couches de circuit integre a profondeur fixee et circuit integre correspondant.
US5236853A (en) * 1992-02-21 1993-08-17 United Microelectronics Corporation Self-aligned double density polysilicon lines for ROM and EPROM
JP3022689B2 (ja) * 1992-08-31 2000-03-21 日本電気株式会社 バイポーラトランジスタの製造方法
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US5480823A (en) * 1995-01-19 1996-01-02 United Microelectronics Corporation Method of making high density ROM, without using a code implant
US5460991A (en) * 1995-03-16 1995-10-24 United Microelectronics Corporation Method of making high coupling ratio flash EEPROM device
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
DE19526011C1 (de) * 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
DE19536523A1 (de) * 1995-09-29 1997-04-03 Siemens Ag Verfahren zur Herstellung einer Gateelektrode
EP0773582A3 (en) * 1995-11-13 1999-07-14 Texas Instruments Incorporated Method of forming a trench isolation structure in an integrated circuit
US5667632A (en) * 1995-11-13 1997-09-16 Motorola, Inc. Method of defining a line width
US5599738A (en) * 1995-12-11 1997-02-04 Motorola Methods of fabrication of submicron features in semiconductor devices
US5946566A (en) * 1996-03-01 1999-08-31 Ace Memory, Inc. Method of making a smaller geometry high capacity stacked DRAM device
US5851882A (en) 1996-05-06 1998-12-22 Micron Technology, Inc. ZPROM manufacture and design and methods for forming thin structures using spacers as an etching mask
US5952720A (en) * 1996-05-06 1999-09-14 United Microelectronics Corp. Buried contact structure
US5891805A (en) * 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US5744391A (en) * 1997-01-15 1998-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method to improve isolation between EEPROM devices via a field oxide anneal
WO1999004436A1 (de) * 1997-07-18 1999-01-28 Infineon Technologies Ag Verfahren zur herstellung eines vertikalen mos-transistors
JPH11154701A (ja) * 1997-11-21 1999-06-08 Mitsubishi Electric Corp 半導体装置
US6087263A (en) * 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6191446B1 (en) 1998-03-04 2001-02-20 Advanced Micro Devices, Inc. Formation and control of a vertically oriented transistor channel length
US6210866B1 (en) * 1998-05-04 2001-04-03 International Business Machines Corporation Method for forming features using self-trimming by selective etch and device formed thereby
US6387771B1 (en) * 1999-06-08 2002-05-14 Infineon Technologies Ag Low temperature oxidation of conductive layers for semiconductor fabrication
US6277704B1 (en) 2000-05-24 2001-08-21 Micron Technology, Inc. Microelectronic device fabricating method, method of forming a pair of conductive device components of different base widths from a common deposited conductive layer
US6862798B2 (en) 2002-01-18 2005-03-08 Hitachi Global Storage Technologies Netherlands B.V. Method of making a narrow pole tip by ion beam deposition
US6610604B1 (en) 2002-02-05 2003-08-26 Chartered Semiconductor Manufacturing Ltd. Method of forming small transistor gates by using self-aligned reverse spacer as a hard mask
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6806584B2 (en) 2002-10-21 2004-10-19 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7259023B2 (en) * 2004-09-10 2007-08-21 Intel Corporation Forming phase change memory arrays
US7170128B2 (en) * 2004-12-02 2007-01-30 Atmel Corporation Multi-bit nanocrystal memory
KR100641980B1 (ko) * 2004-12-17 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 배선 및 그 형성방법
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7531367B2 (en) * 2006-01-18 2009-05-12 International Business Machines Corporation Utilizing sidewall spacer features to form magnetic tunnel junctions in an integrated circuit
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8557675B2 (en) 2011-11-28 2013-10-15 Globalfoundries Inc. Methods of patterning features in a structure using multiple sidewall image transfer technique
US8669186B2 (en) 2012-01-26 2014-03-11 Globalfoundries Inc. Methods of forming SRAM devices using sidewall image transfer techniques
US9583381B2 (en) * 2013-06-14 2017-02-28 Micron Technology, Inc. Methods for forming semiconductor devices and semiconductor device structures
TWI640042B (zh) 2015-03-09 2018-11-01 聯華電子股份有限公司 半導體裝置之圖案化結構的製作方法
CN117724207B (zh) * 2024-02-18 2024-04-30 上海铭锟半导体有限公司 一种非晶硅光波导的制作方法及非晶硅光波导

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5691430A (en) * 1979-12-25 1981-07-24 Fujitsu Ltd Preparation of semiconductor device
JPS57130431A (en) * 1981-02-06 1982-08-12 Fujitsu Ltd Manufacture of semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4093503A (en) * 1977-03-07 1978-06-06 International Business Machines Corporation Method for fabricating ultra-narrow metallic lines
US4209349A (en) * 1978-11-03 1980-06-24 International Business Machines Corporation Method for forming a narrow dimensioned mask opening on a silicon body utilizing reactive ion etching
DE3016736A1 (de) * 1980-04-30 1981-11-05 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen geaetzter strukturen in siliziumoxidschichten
US4358340A (en) * 1980-07-14 1982-11-09 Texas Instruments Incorporated Submicron patterning without using submicron lithographic technique
US4354896A (en) * 1980-08-05 1982-10-19 Texas Instruments Incorporated Formation of submicron substrate element
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
NL8105559A (nl) * 1981-12-10 1983-07-01 Philips Nv Werkwijze voor het aanbrengen van een smalle groef in een substraatgebied, in het bijzonder een halfgeleidersubstraatgebied.
US4385975A (en) * 1981-12-30 1983-05-31 International Business Machines Corp. Method of forming wide, deep dielectric filled isolation trenches in the surface of a silicon semiconductor substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5691430A (en) * 1979-12-25 1981-07-24 Fujitsu Ltd Preparation of semiconductor device
JPS57130431A (en) * 1981-02-06 1982-08-12 Fujitsu Ltd Manufacture of semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7456481B2 (en) 2003-10-10 2008-11-25 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JPWO2006070474A1 (ja) * 2004-12-28 2008-06-12 スパンション エルエルシー 半導体装置の製造方法

Also Published As

Publication number Publication date
DE3688042D1 (de) 1993-04-22
US4648937A (en) 1987-03-10
EP0223994A2 (en) 1987-06-03
JPH0529133B2 (ja) 1993-04-28
EP0223994B1 (en) 1993-03-17
EP0223994A3 (en) 1989-10-25
DE3688042T2 (de) 1993-09-16

Similar Documents

Publication Publication Date Title
JPS62105426A (ja) サブミクロン寸法のマスク構造を形成する方法
US4502914A (en) Method of making structures with dimensions in the sub-micrometer range
US5776821A (en) Method for forming a reduced width gate electrode
JP3024317B2 (ja) 半導体装置の製造方法
JPH06216086A (ja) ”ハードマスク”を用いた集積回路プロセス
JP2505359B2 (ja) 半導体装置のコンタクトホ―ル形成方法
JPS63107119A (ja) ステップ絶縁層を有する集積回路の製造方法
JP3946724B2 (ja) 半導体装置の製造方法
US20030064585A1 (en) Manufacture of semiconductor device with spacing narrower than lithography limit
JP2008124399A (ja) 半導体装置の製造方法
JP2008053438A (ja) 半導体装置の製造方法
JPH02271620A (ja) 半導体装置の製造方法
JPS61119056A (ja) 半導体装置の製造方法
JP2001332613A (ja) 半導体装置の製造方法
JP2000183027A (ja) 半導体装置の製造方法
TW508696B (en) Method for etching of mask layer and passivation layer of metal contact windows
JPS63258020A (ja) 素子分離パタ−ンの形成方法
JPS583244A (ja) 半導体装置の製造方法
KR100516771B1 (ko) 반도체 소자의 게이트 전극 형성방법
KR950001300B1 (ko) 반도체장치의 제조방법
JPH0327521A (ja) Mos型トランジスタの製造方法
JPS60177646A (ja) 半導体集積回路装置の製造方法
JP3260408B2 (ja) 半導体装置の製造方法
JP2725616B2 (ja) 半導体装置の製造方法
JPS6215822A (ja) パタ−ン形成方法