JPH11224949A - サブミクロン金属ゲートmosトランジスタおよびその形成方法 - Google Patents

サブミクロン金属ゲートmosトランジスタおよびその形成方法

Info

Publication number
JPH11224949A
JPH11224949A JP10336093A JP33609398A JPH11224949A JP H11224949 A JPH11224949 A JP H11224949A JP 10336093 A JP10336093 A JP 10336093A JP 33609398 A JP33609398 A JP 33609398A JP H11224949 A JPH11224949 A JP H11224949A
Authority
JP
Japan
Prior art keywords
layer
region
mos transistor
gate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10336093A
Other languages
English (en)
Inventor
Sheng Teng Hsu
テン スー シェン
David Evans
エバンス デビッド
Tue Nguyen
ヌエン ツェ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Sharp Microelectronics Technology Inc
Original Assignee
Sharp Corp
Sharp Microelectronics Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp, Sharp Microelectronics Technology Inc filed Critical Sharp Corp
Publication of JPH11224949A publication Critical patent/JPH11224949A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 サブミクロンチャネル長金属ゲートMOS集
積回路において、対費用効果が高い製造方法を提供す
る。 【解決手段】 MOSトランジスタはドープされた単結
晶シリコン基板上に形成され、第1型の導電層を形成す
る。MOSトランジスタは該基板上に形成された活性領
域と、第2型の導電チャネルを形成するようにドープさ
れた、該活性領域中に位置するソース領域およびドレイ
ン領域と、該活性領域中の該ソース領域および該ドレイ
ン領域間に位置する金属ゲート領域であって、該金属ゲ
ートが1ミクロン未満の幅を有する金属ゲート領域と、
該ゲート領域の上に位置するゲート酸化物領域と、構造
体の上に位置する酸化物領域と、それぞれが対応する領
域と接続し、コンタクト金属と電極金属との組合わせか
らなるソース電極、ゲート電極、およびドレイン電極を
有する。他の実施態様は、ゲート電極の間の相互接続、
および一方のトランジスタのドレイン電極ともう一方の
トランジスタのドレイン電極との相互接続を有する一対
のMOSトランジスタを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、MOS半導体装置
およびその製造方法に関し、特に、非常に薄い金属ゲー
トを有するMOSトランジスタに関する。
【0002】
【従来の技術】金属酸化物半導体(MOS)装置は多種
多様のものが知られている。これらの装置には、集積回
路のゲート領域に非常に短いチャネル長を設けることが
望ましい。このような条件を満たす一方法として、n+
またはp+をドープした二極性(dual polarity)ポリシ
リコンゲートを使う方法がある。このようなゲートを形
成するプロセスにおいて、ボロンまたはボロン化合物が
基板へ注入されるが、一般的に、注入されたボロン化合
物が基板の深くへ浸透しすぎてしまう。更に、ボロン化
合物のドーピング密度はかなり高くなけらばならない。
なぜならゲートに空乏ができ(depleted)、チャネル電流
密度が低くなるからである。
【0003】Chatterjeeらが、「ゲート置換プロセスに
よって形成されたサブ100nmゲート長金属ゲートN
MOSトランジスタ」(国際電子装置会議、12月7〜
10日、1997年、821〜824頁)の中で、この
問題について、ゲート領域の上方でポリシリコン置換に
よって形成されるサブ100nmゲート構成に関連付け
て論じている。
【0004】
【発明が解決しようとする課題】既知の金属ゲート形成
プロセスは複雑で、自己整合構成部分の形成時に構造上
の難題が持ち上がる。例えば、銅は理想的な金属ゲート
を形成するが、薄膜としては付着性が乏しくなる。アル
ミニウムも金属ゲートとして使用できるが、電気移動の
問題が生じる。
【0005】本発明の目的は、サブミクロンチャネル長
金属ゲートMOS集積回路の対費用効果が高い製造方法
を提供することである。本発明のもう一つの目的は、高
い電流密度を有するサブミクロンチャネル長金属ゲート
MOS集積回路を提供することである。
【0006】本発明におけるこれらおよびその他の目的
ならびに利点は、以下の説明を図面を参照しながら読む
ことで、更に明らかになる。
【0007】
【課題を解決するための手段】本発明の一つの局面によ
れば、MOSトランジスタは、第1型の導電層を形成す
るようにドープされた単結晶シリコン基板と、該基板上
に形成された活性領域と、第2型の導電チャネルを形成
するようにドープされた該活性領域に位置するソース領
域およびドレイン領域と、該活性領域にある該ソース領
域と該ドレイン領域との間に位置する金属ゲート領域で
あって、該金属ゲートが1ミクロン未満の幅を有する、
金属ゲート領域と、該ゲート領域の上方に位置するゲー
ト酸化物領域と、構造体の上方に位置する酸化物領域
と、個々がそれぞれの領域と接続し、コンタクト金属と
電極金属との組合せからなるソース電極、ゲート電極、
およびドレイン電極とを有する。これにより、上記目的
が達成される。
【0008】好ましくは、前記第1型の導電層がp-
である。
【0009】好ましくは、前記p-層が、約30keV
から80keVの範囲のエネルギおよび1.0×1012
cm-2から0.1×1014cm-2のドーズ量での一回の
ボロンイオン注入および、熱拡散によって形成される。
【0010】好ましくは、前記p-層が、複数のボロン
イオン注入および、熱拡散によって形成される。
【0011】好ましくは、前記第2型の導電層が、n+
層である。
【0012】好ましくは、前記n+層が約80keV以
下のエネルギおよび約1.0×101 5cm-2から5.0
×1015cm-2のドーズ量での砒素イオン注入によって
形成される。
【0013】好ましくは、前記コンタクト金属がTi
N、Ta、TaN、TaSiN、W、WN、WSiNお
よびReからなる群から選択される。
【0014】好ましくは、前記電極金属がCu、Alお
よび高融点金属からなる金属の群から選択される。
【0015】好ましくは、前記ゲート電極と前記ゲート
酸化物層との間にシリサイド層を有する。
【0016】好ましくは、第2のMOSトランジスタ
が、前記第1のMOSトランジスタに隣接して形成さ
れ、該第1および第2のMOSトランジスタの前記ゲー
ト電極が相互接続され、該第1のMOSトランジスタの
前記ドレイン電極が、該第2のMOSトランジスタのド
レイン電極と相互接続される。
【0017】好ましくは、前記第2のMOSトランジス
タが、n-ウェル上に形成される。
【0018】本発明の別の局面によれば、単結晶シリコ
ン基板上にMOSトランジスタを形成する方法は、該基
板にシリコン素子エリアを形成する工程と、該シリコン
素子エリアに第1型のドーピング不純物を注入し、ゲー
ト領域として使用する第1型の導電チャネルを形成する
第1の導電層形成工程と、該素子エリアの各側面に絶縁
領域境界を形成する工程と、該基板上の該絶縁領域境界
の中に酸化シリコン層を堆積する工程と、該酸化シリコ
ン層の上方に約10nmから50nmの範囲の所定の厚
さのポリシリコン層を堆積する工程、該ポリシリコン層
の上方に約300nmから700nmの所定の厚さまで
窒化シリコン層を堆積する工程と、該窒化シリコン層、
該ポリシリコン層、および該酸化シリコン層をエッチン
グし、窒化シリコンプラグ、ポリシリコン領域、および
酸化シリコンを含むスタック層を該ゲート領域の上方に
形成する工程と、酸化シリコン層を該基板、該絶縁領域
境界、および該スタック層の上方に約50nmから20
0nmの間の所定の厚さまで堆積する工程と、該酸化シ
リコン層をエッチングし、該スタック層の側壁あたり
に、スペーサを形成する工程と、第2型のイオンを該基
板内に注入し、ソース領域およびドレイン領域として使
用する、第2型の導電層を形成する第2の導電層形成工
程と、酸化シリコン層を該絶縁領域境界、該第2型の導
電層、および該スタック層の上方に、該スタック層の約
1.5倍から2.0倍の間の所定の厚さに堆積する工程
と、該スタック層の上部の深さまで、構造体を平坦化す
る工程と、ソース電極およびドレイン電極に対して電極
収容構造を形成する工程と、該構造を選択的にエッチン
グし、該窒化シリコンプラグを取り除き、それによって
ゲート電極用の電極収容構造を形成する工程と、コンタ
クト金属層を堆積する工程と、電極金属層を堆積する工
程と、1ミクロン未満の大きさの金属ゲート部を形成す
る工程と、該構造体を平坦化エッチングする工程と、該
構造体を500℃から900℃の範囲の所定の温度で3
0分間アニールする工程とを包含する。これにより、上
記の目的が達成される。
【0019】好ましくは、前記第1の導電層形成工程
が、約30keVから50keVの範囲の所定のエネル
ギ準位および約1.0×1012cm-2から5.0×10
14cm -2の範囲の所定のドーズ量でボロンイオンを注入
してp-ウェルを形成する工程を含む。
【0020】好ましくは、前記第2の導電層形成工程
が、約10keVから80keVの範囲の所定のエネル
ギ準位および約1.0×1015cm-2から5.0×10
15cm -2の範囲の所定のドーズ量で砒素イオンの注入し
+層を形成する工程を含む。
【0021】好ましくは、前記コンタクト金属層の堆積
工程が、TiN、Ta、TaN、TaSiN、W、W
N、WSiN、およびReからなる群から選択される金
属層の堆積を含む。
【0022】好ましくは、前記電極金属層の堆積工程
が、Cu、Al、および高融点金属からなる金属群から
選択される金属層の堆積を含む。
【0023】好ましくは、第3型の導電層を、前記第1
の活性領域に隣接するように、前記基板内に形成する工
程およびその上に第2のMOSトランジスタを形成する
工程を更に含む。
【0024】好ましくは、前記第1および第2のMOS
トランジスタの前記ゲート電極を相互接続し、該第1の
MOSトランジスタの前記ドレイン電極を該第2のMO
Sトランジスタの前記ドレイン電極に相互接続する工程
を含む。
【0025】以下に作用について説明する。本発明にお
けるMOSトランジスタは第1型の導電層を形成するよ
うにドープされた単結晶シリコン基板上に形成され、基
板上に形成された活性領域と、第2型の導電チャネルを
形成するようにドープされた、活性領域中に位置するソ
ース領域およびドレイン領域と、活性領域中のソース領
域とドレイン領域との間に位置する金属ゲート領域であ
って、金属ゲートが1ミクロン未満の幅を有する、金属
ゲート領域と、ゲート領域の上に位置するゲート酸化物
領域と、構造体の上に位置する酸化物領域と、それぞれ
が対応する領域と接続し、コンタクト金属と電極金属と
の組合わせからなる、ソース電極、ゲート電極、および
ドレイン電極とを有する。他の実施態様は、二つのゲー
ト電極間の相互接続および一方のトランジスタのドレイ
ン電極ともう一方のトランジスタのドレイン電極との相
互接続を有する一対のMOSトランジスタを含む。この
ようなMOSトランジスタの構成は単純であり、高い駆
動電流と低い基板バイアス効果を有する。また、本発明
の金属ゲートは高い電流密度を確保できる。さらに、本
発明のサブミクロンチャネル長金属ゲートMOSトラン
ジスタ形成方法は、ダミーとなるゲートパターンを形成
してそれを金属と置換する方法であり、簡易で対費用効
果が高い。
【0026】
【発明の実施の形態】本実施例では、サブミクロン金属
ゲート金属酸化物半導体(MOS)トランジスタを提供
する金属として、銅を使用した。「サブミクロン」と
は、本発明の構造に使用される金属ゲートの幅が100
0nm未満であることを意味する。言うまでもなく、任
意の適切な集積回路相互接続材料が使用され得る。この
ような材料にはアルミニウムが代表的であり、またはす
べての高融点金属が含まれる。また、本実施例では、n
-チャネルMOSトランジスタが使用されているが、構
造および製造プロセスはp-チャネルMOSトランジス
タおよび相補型金属酸化物半導体(CMOS)集積を提
供するためにも使用可能である。但し、この場合も、シ
リコン基板の適当なドーピングを初めに行わなければな
らない。
【0027】図1は、MOSトランジスタ11を形成す
るために使用されるシリコン基板10を示す。基板10
は、p- シリコン基板上方の活性領域あたりに、境界1
2および14で示す酸化物絶縁境界を形成するように処
理され、素子エリア15を規定する。当業者には当然の
ことながら、シリコン基板は第1型不純物でドーピング
処置されp-ウェルを調整し、それによって第1型導電
チャネルを形成し、そして、閾値電圧を本発明の素子製
造に適するよう調節する。例えば、p-基板10は、3
0keVから80keVのエネルギ準位および1.0×
1012cm-2から0.1×1014cm-2のドーズ量での
一回のボロンイオン注入、それに次いで熱拡散すること
によって形成され得る。あるいは、p-基板10は、複
数のボロンイオン注入、それに次ぐ熱拡散処理で形成さ
れ得る。
【0028】図2において、ゲート酸化物領域16が1
0nm以下の厚さで形成されている。この酸化物領域
は、従来の熱酸化もしくは高速熱酸化、化学気相成長法
(CVD)、またはその他の適した技法によって形成さ
れ得る。
【0029】プロセスのこの段階で、ポリシリコン材料
層18が堆積し得る。層18は、10nmと50nmと
の間の所定の厚さである。この層は、ゲート酸化物を後
に続く処理中で保護するために堆積される。この層は、
除去または任意のタイプのバリアもしくは金属層と置換
され得るが、後にゲート領域となるゲート酸化物を効果
的、かつ効率的に保護する。
【0030】窒化シリコン(Si34)層20が、30
0nmと700nmとの間の所定の厚さで堆積し得る。
適切なフォトレジスト材料が塗布され、窒化物層および
ポリシリコン層がプラズマエッチングされることによ
り、図2に示すようにポリシリコン領域18および窒化
物プラグ20が形成される。エッチングプロセスは、一
段階もしくは二段階の工程プロセスであり得る。二段階
工程プロセスでは、第1エッチング工程はゲート酸化物
16で停止し、それに続くエッチング工程でプラグ20
および領域18の両側にあるゲート酸化物層が除去され
る。一段階工程プロセスでは、エッチングによってp-
シリコン基板10まで不必要な材料がすべて除去され
る。窒化物プラグ20、ポリシリコン領域18、および
残りの酸化物層16を本明細書では、スタック層と呼
ぶ。適切なイオンが、LDD領域(図示せず)を形成す
るために注入される。このような注入によって、p-
リコン基板中にゲート領域25もデフォルトで規定され
る。ゲート領域25は、本明細書では第1型導電チャネ
ルとも呼ぶ。ソース領域22およびドレイン領域24は
任意の周知のプロセスによって形成され、軽くドープさ
れた導電領域を形成する。このプロセスは、LDD、M
DD,およびHALO構造を包含する。
【0031】CVDによって、ポリシリコン領域18お
よび窒化物プラグ20の上に50nmと200nmとの
間の所定の厚さまで酸化シリコン層が形成される。この
酸化シリコン層はプラズマエッチングされ、図3に示す
ように酸化物スペーサ26および28を窒化物プラグ2
0の側面に形成する。シリコン窒化物以外の他の任意の
絶縁体もプロセスのこの工程で必要に応じて使用され得
る。
【0032】適切なイオンが注入されて、ソース領域2
2およびドレイン領域24が形成され、中和または活性
化される。ソース領域とドレイン領域を、本明細書では
第2型の導電チャネル(これらはn+チャネルである)
と呼ぶ。また、基板内に注入される不純物を第2型のド
ーピング不純物と呼ぶ。例として、LDDは、リン
(P)または砒素(As)イオンを70keV(P)ま
たは140keV(As)を越えないエネルギ、およ
び、1.0×1013cm-2から5.0×1014cm-2
所定のドーズ量で注入することによって行われ得る。n
+層は10keVと80keVとの間の所定のエネルギ
準位および1.0×1015cm-2から5.0×1015
-2の所定のドーズ量で砒素イオンを注入することによ
って形成され得る。この時点で、必要に応じてサリサイ
ドプロセスを行うこともできる。サリサイド(自己整合
シリサイド)形成プロセスは、TiまたはCo層を、好
ましくはCVDによって、30nmと60nmとの間の
所定の厚さまで、Tiにおいては500℃から650
℃、またはCoにおいては450℃から600℃の窒素
雰囲気中で形成するプロセスを含む。過剰のTiまたは
Coは、エッチングで除去され、Tiは700℃から8
50℃の、Coは650℃から800℃の窒素下で高速
熱アニール(RTA)される。
【0033】一旦ソース領域とドレイン領域が形成され
ると、図4に示すように二酸化シリコンがCVDによっ
て窒化シリコンプラグ20の約1.5から2倍の厚さま
でふたたび追加され、酸化物領域30および32にな
る。この構造は、好ましくは化学的機械的研磨プロセス
によって平坦化され、窒化シリコンプラグ20の上表面
を露出する。CMPによる平坦化(planarization)は、
約5%の均一性を有する表面を提供する。
【0034】図5において、この構造は酸化物領域30
および32の特定の領域を保護するためにフォトレジス
トによって覆われる。トレンチ34および36がソース
電極およびドレイン電極それぞれに対して形成される。
バイアホール38および40がソース電極およびドレイ
ン電極のそれぞれの付加部分用に形成され、両電極がそ
れぞれの導電領域に接続され得る。この方法の一部分に
は、従来のツーマスクプロセス、ダブルレジストプロセ
ス、およびハーフトーンマスクプロセスを用いてもよ
い。トレンチおよびバイアホールを本発明では総称して
電極収容構造と呼ぶが、これらが形成された後、フォト
レジストは構造体より剥がされる。プロセスの次の工程
では、リン酸(H3PO4)溶液または、窒化シリコンプ
ラグ20を除去するが、酸化物領域30および32、シ
リコン領域10、および、ポリシリコン層18をエッチ
ングしない任意の適切なエッチャントにおいてウェット
エッチングが行われる。このようにして、ゲート電極用
のバイアホール42を備えた図5に示す構造が得られ
る。
【0035】図6において、Ti、Ta、TaN、Ta
SiN、W、WN、WSiNまたはReなどのコンタク
ト金属層およびTiNなどのバリア金属がCVDによっ
て堆積される。これらの層を参照符号44で示す。次
に、銅などの金属材料がMOCVDまたは、他のCV
D、PVDおよびスパッタリングプロセスによって堆積
され、領域46が形成される。前述したように、アルミ
ニウムまたは高融点金属などの他の金属を使用してもよ
い。その後、金属領域46およびコンタクト金属44は
平面エッチングされる。このときエッチングは酸化物領
域30および32で停止し、図7に示すように、ソース
電極48、ゲート電極50、およびドレイン電極52が
形成される。この平面エッチングとしては、CMPプロ
セスが好ましい。この構造体は、500℃と900℃と
の間の温度で半時間アニールされ、電極と導電チャネル
と間に良好なコンタクトを形成する。ポリシリコン層1
8は、アニーリングプロセス中にシリサイド54へと変
換される。従来のポリシリコンゲートは、金属ゲート電
極50によって置き換えらる。この装置におけるゲート
長はゲート電極50の幅によって決まる。言うまでもな
く、この構造は立体で、幅とほぼ同等の深さ(図のペー
ジ方向)を有し、ゲートには、ほぼ長方形の活性領域を
形成し、トランジスタの活性領域以外の部分には他の立
体構造を形成する。
【0036】これまでで明らかなように、ソース電極、
ゲート電極、ドレイン電極の材料はいずれも同じもので
あり、第1段階の相互接続中に同時に形成される。ゲー
トはソース領域およびドレーン領域と自己整合する。例
として、n-チャネルMOSトランジスタを使用した
が、同じ方法がシリコンドーパントを的確に交換するこ
とによってp-チャネルトランジスタおよびCMOSI
Cの製造にも応用できることは言うまでもない。チャネ
ル領域のドーピング密度は、高い駆動電流および低い基
板バイアス効果を得るために低い。
【0037】以上に代わるプロセスおよび構造として、
一対のMOSトランジスタ56、58間に局所的相互接
続を形成してもよい。図8において、前に説明したよう
に同じ初期工程が行われ、基板60が適切な極性を有す
るように適切にドープされる。この場合にはp-基板と
なる基板が形成される。二酸化シリコン絶縁領域62、
64、および66が形成され、二酸化シリコン層68が
熱成長または、CVDによって堆積される。本実施態様
においては、基板に第3型ドーピング不純物(即ちリ
ン)を、50keVから180keVの所定のエネルギ
準位および1.0×1012cm-2から5.0×1013
-2の所定のドーズ量で注入することによって、本明細
書では第3型導電チャネルと呼ばれるn-ウェル70も
形成される。
【0038】図9において、n-チャネル72およびp-
チャネル74のゲート電極が前に示したように、即ち、
窒化シリコン置換プラグの形成によって、作製される。
LDD、およびp+とn+は従来の方法で注入される。p
-チャネルLDDは、100keV未満のエネルギおよ
び1.0×1013cm-2から5.0×1014cm-2の所
定のドーズ量でBF2によって形成され得る。p-チャネ
ルソースおよびドレイン領域はBF2イオンを10ke
Vから60keVの所定のエネルギおよび1.0×10
15cm-2から5.0×1015cm-2の所定のドーズ量に
よって注入することにより形成され得る。任意のサリサ
イド領域は、以前に説明したように形成される。ソース
領域76および82、ならびにドレイン領域80および
78が形成される。
【0039】次に、酸化物層84がCVDによって堆積
される。酸化物層84はバリア金属72および74の厚
さの約3倍の厚さであればよい。(図中では一律の縮尺
に従っていない)。酸化物層84の表面は図9に示す構
成どおりになるよう、化学的機械的研磨により平坦化さ
れる。
【0040】図10において、酸化層はフォトレジスト
により覆われ、図10に示す構成どおりになるようダマ
シーントレンチおよびコンタクトエッチングされる。こ
の結果、ソース76と接続するソース電極のトレンチお
よびバイアホール86、ソース領域82と接続するソー
ス電極の領域を提供するトレンチおよびバイアホール8
8、ならびに、ドレイン領域80およびドレイン領域7
8に接続する電極を提供するトレンチおよびバイアホー
ル90が形成される。更に、酸化層はエッチングで除去
され、ホール92および94を設けることでゲート電極
のための空間を提供し、この空間は図上にはないが、そ
れぞれゲート77および79に接続する相互接続エリア
をさらに含む。
【0041】このとき、図6を参照しながら説明したよ
うに、第2バリア金属がCVDによって堆積される。第
2バリア金属材料としてはTiN、WNまたはn+およ
びp+シリコン両方に良好なオーミック接触を提供し、
シリコンへの金属拡散を防ぐように選択されるその他の
適切な金属が用いられ得る。次に、金属がCVDまたは
スパッタリングによって構造体上に堆積されるが、その
金属としては、Cu、Mo、W、Al、又は、その他の
適切な金属が用いられ得る。そして、その構造体は酸化
物領域の深さまで化学的機械的研磨され、図11に示す
構成となる。図11に示すように、第1にnMOSTソ
ース電極96が形成され、第2にpMOSTソース電極
98が形成される。相互接続電極100はnMOSTド
レイン領域80およびpMOSTドレイン領域78に接
続する。ゲート電極102および104は、局所的相互
接続106によって結合される。
【0042】以上のように、サブミクロン金属ゲートM
OSトランジスタおよびその改変を説明した。このよう
に形成された集積回路は高い駆動電流および低い基板バ
イアス効果の特徴を持つ。その構造は単純で、なおかつ
対費用効果の高いサブミクロン金属ゲートMOSトラン
ジスタの形成方法である。
【0043】上記で好ましい実施態様およびその改変を
説明したが、請求項中に定義した本発明の範囲を逸脱す
ることなく上記以外の改変や変更が可能なことは言うま
でもない。
【0044】
【発明の効果】本発明によると、高い駆動電流と低い基
板バイアス効果を特徴とし、単純な構成のサブミクロン
金属ゲートMOSトランジスタを、対費用効果の高い方
法によって形成することができる。
【図面の簡単な説明】
【図1】本発明によるサブミクロン金属ゲートMOSト
ランジスタの製造の一工程を示す図である。
【図2】本発明によるサブミクロン金属ゲートMOSト
ランジスタの製造の一工程を示す図である。
【図3】本発明によるサブミクロン金属ゲートMOSト
ランジスタの製造の一工程を示す図である。
【図4】本発明によるサブミクロン金属ゲートMOSト
ランジスタの製造の一工程を示す図である。
【図5】本発明によるサブミクロン金属ゲートMOSト
ランジスタの製造の一工程を示す図である。
【図6】本発明によるサブミクロン金属ゲートMOSト
ランジスタの製造の一工程を示す図である。
【図7】本発明に基づいて形成されたMOSICの正面
断面図である。
【図8】本発明によるサブミクロン金属ゲートMOSト
ランジスタの他の実施態様の製造の一工程を示す図であ
る。
【図9】本発明によるサブミクロン金属ゲートMOSト
ランジスタの他の実施態様の製造の一工程を示す図であ
る。
【図10】本発明によるサブミクロン金属ゲートMOS
トランジスタの他の実施態様の製造の一工程を示す図で
ある。
【図11】本発明に基づいて形成されたMOSICの他
の実施態様の正面断面図である。
【符号の説明】
10、60 シリコン基板 11、56、58 MOSトランジスタ 12、14 境界 15 素子エリア 16 ゲート酸化物領域 18 ポリシリコン材料層 20 窒化シリコン層、窒化物プラグ 22、76、82 ソース領域 24 78、80ドレイン領域 25 ゲート領域 26、28 酸化物スペーサ 30、31 酸化物領域 34、36 トレンチ 38、40、42 バイアホール 44 コンタクト金属 46 金属領域 48 ソース電極 50、102、104 ゲート電極 52 ドレイン電極 54 シリサイド 62、64、66 酸化シリコン絶縁領域 68 酸化シリコン層 70 n-ウェル 72 n-チャネル、境界金属 74 p-チャネル、境界金属 78 pMOSTドレイン領域 80 nMOSTドレイン領域 84 酸化物層 86、88、90 トレンチおよびバイアホール 92、94 ホール 96 nMOSTソース電極 98 pMOSTソース電極 100 相互接続電極 106 局所的相互接続
───────────────────────────────────────────────────── フロントページの続き (72)発明者 シェン テン スー アメリカ合衆国 ワシントン 98607, カマス, エヌダブリュー トロウト コ ート 2216 (72)発明者 デビッド エバンス アメリカ合衆国 オレゴン 97007, ビ ーバートン, エスダブリュー 179ティ ーエイチ プレイス 7574 (72)発明者 ツェ ヌエン アメリカ合衆国 ワシントン 98683, バンクーバー, エスイー 171ティーエ イチ プレイス 1603

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 第1型の導電層を形成するようにドープ
    された単結晶シリコン基板と、 該基板上に形成された活性領域と、 第2型の導電チャネルを形成するようにドープされた該
    活性領域に位置するソース領域およびドレイン領域と、 該活性領域にある該ソース領域と該ドレイン領域との間
    に位置する金属ゲート領域であって、該金属ゲートが1
    ミクロン未満の幅を有する、金属ゲート領域と、 該ゲート領域の上方に位置するゲート酸化物領域と、 構造体の上方に位置する酸化物領域と、 個々がそれぞれの領域と接続し、コンタクト金属と電極
    金属との組合せからなるソース電極、ゲート電極、およ
    びドレイン電極と、 を有する、MOSトランジスタ。
  2. 【請求項2】 前記第1型の導電層がp-層である、請
    求項1に記載のMOSトランジスタ。
  3. 【請求項3】 前記p-層が、約30keVから80k
    eVの範囲のエネルギおよび1.0×1012cm-2から
    0.1×1014cm-2のドーズ量での一回のボロンイオ
    ン注入および、熱拡散によって形成される、請求項2に
    記載のMOSトランジスタ。
  4. 【請求項4】 前記p-層が、複数のボロンイオン注入
    および、熱拡散によって形成される、請求項2に記載の
    MOSトランジスタ。
  5. 【請求項5】 前記第2型の導電層が、n+層である、
    請求項1に記載のMOSトランジスタ。
  6. 【請求項6】 前記n+層が約80keV以下のエネル
    ギおよび約1.0×1015cm-2から5.0×1015
    -2のドーズ量での砒素イオン注入によって形成され
    る、請求項4に記載のMOSトランジスタ。
  7. 【請求項7】 前記コンタクト金属がTiN、Ta、T
    aN、TaSiN、W、WN、WSiNおよびReから
    なる群から選択される、請求項1に記載のMOSトラン
    ジスタ。
  8. 【請求項8】 前記電極金属がCu、Alおよび高融点
    金属からなる金属の群から選択される、請求項1に記載
    のMOSトランジスタ。
  9. 【請求項9】 前記ゲート電極と前記ゲート酸化物層と
    の間にのシリサイド層を有する、請求項1に記載のMO
    Sトランジスタ。
  10. 【請求項10】 第2のMOSトランジスタが、前記第
    1のMOSトランジスタに隣接して形成され、該第1お
    よび第2のMOSトランジスタの前記ゲート電極が相互
    接続され、該第1のMOSトランジスタの前記ドレイン
    電極が、該第2のMOSトランジスタのドレイン電極と
    相互接続される、請求項1に記載のMOSトランジス
    タ。
  11. 【請求項11】 前記第2のMOSトランジスタが、n
    -ウェル上に形成される、請求項10に記載のMOSト
    ランジスタ。
  12. 【請求項12】 単結晶シリコン基板上にMOSトラン
    ジスタを形成する方法であって、 該基板にシリコン素子エリアを形成する工程と、 該シリコン素子エリアに第1型のドーピング不純物を注
    入し、ゲート領域として使用する第1型の導電チャネル
    を形成する第1の導電層形成工程と、 該素子エリアの各側面に絶縁領域境界を形成する工程
    と、 該基板上の該絶縁領域境界の中に酸化シリコン層を堆積
    する工程と、 該酸化シリコン層の上方に約10nmから50nmの範
    囲の所定の厚さのポリシリコン層を堆積する工程、 該ポリシリコン層の上方に約300nmから700nm
    の所定の厚さまで窒化シリコン層を堆積する工程と、 該窒化シリコン層、該ポリシリコン層、および該酸化シ
    リコン層をエッチングし、窒化シリコンプラグ、ポリシ
    リコン領域、および酸化シリコンを含むスタック層を該
    ゲート領域の上方に形成する工程と、 酸化シリコン層を該基板、該絶縁領域境界、および該ス
    タック層の上方に約50nmから200nmの間の所定
    の厚さまで堆積する工程と、 該酸化シリコン層をエッチングし、該スタック層の側壁
    あたりに、スペーサを形成する工程と、 第2型のイオンを該基板内に注入し、ソース領域および
    ドレイン領域として使用する、第2型の導電層を形成す
    る第2の導電層形成工程と、 酸化シリコン層を該絶縁領域境界、該第2型の導電層、
    および該スタック層の上方に、該スタック層の約1.5
    から2.0倍の間の所定の厚さに堆積する工程と、 該スタック層の上部の深さまで、構造体を平坦化する工
    程と、 ソース電極およびドレイン電極に対して電極収容構造を
    形成する工程と、 該構造を選択的にエッチングし、該窒化シリコンプラグ
    を取り除き、それによってゲート電極用の電極収容構造
    を形成する工程と、 コンタクト金属層を堆積する工程と、 電極金属層を堆積する工程と、 1ミクロン未満の大きさの金属ゲート部を形成する工程
    と、 該構造体を平坦化エッチングする工程と、 該構造体を500℃から900℃の範囲の所定の温度で
    30分間アニールする工程と、を包含する、単結晶シリ
    コン基板上にMOSトランジスタを形成する方法。
  13. 【請求項13】 前記第1の導電層形成工程が、約30
    keVから50keVの範囲の所定のエネルギ準位およ
    び約1.0×1012cm-2から5.0×10 14cm-2
    範囲の所定のドーズ量でボロンイオンを注入してp-
    ェルを形成する工程を含む、請求項12に記載の方法。
  14. 【請求項14】 前記第2の導電層形成工程が、約10
    keVから80keVの範囲の所定のエネルギ準位およ
    び約1.0×1015cm-2から5.0×10 15cm-2
    範囲の所定のドーズ量で砒素イオンの注入しn+層を形
    成する工程を含む、請求項12に記載の方法。
  15. 【請求項15】 前記コンタクト金属層の堆積工程が、
    TiN、Ta、TaN、TaSiN、W、WN、WSi
    N、およびReからなる群から選択される金属層の堆積
    を含む、請求項12に記載の方法。
  16. 【請求項16】 前記電極金属層の堆積工程が、Cu、
    Al、および高融点金属からなる金属群から選択される
    金属層の堆積を含む、請求項12に記載の方法。
  17. 【請求項17】 第3型の導電層を、前記第1の活性領
    域に隣接するように、前記基板内に形成する工程、およ
    びその上に第2のMOSトランジスタを形成する工程を
    更に含む、請求項12に記載の方法。
  18. 【請求項18】 前記第1および第2のMOSトランジ
    スタの前記ゲート電極を相互接続し、該第1のMOSト
    ランジスタの前記ドレイン電極を該第2のMOSトラン
    ジスタの前記ドレイン電極に相互接続する工程を含む、
    請求項17に記載の方法。
JP10336093A 1998-01-09 1998-11-26 サブミクロン金属ゲートmosトランジスタおよびその形成方法 Pending JPH11224949A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/004.991 1998-01-09
US09/004,991 US6274421B1 (en) 1998-01-09 1998-01-09 Method of making metal gate sub-micron MOS transistor

Publications (1)

Publication Number Publication Date
JPH11224949A true JPH11224949A (ja) 1999-08-17

Family

ID=21713564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10336093A Pending JPH11224949A (ja) 1998-01-09 1998-11-26 サブミクロン金属ゲートmosトランジスタおよびその形成方法

Country Status (5)

Country Link
US (3) US6274421B1 (ja)
EP (1) EP0929105A3 (ja)
JP (1) JPH11224949A (ja)
KR (1) KR100352715B1 (ja)
TW (1) TW434901B (ja)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100624961B1 (ko) * 1999-10-20 2006-09-19 주식회사 하이닉스반도체 메탈 게이트를 채용한 트랜지스터 제조방법
TW514992B (en) * 1999-12-17 2002-12-21 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6607950B2 (en) 2000-03-30 2003-08-19 Interuniversitair Microelektronic Centrum (Imec) MIS transistors with a metal gate and high-k dielectric and method of forming
US6417084B1 (en) * 2000-07-20 2002-07-09 Advanced Micro Devices, Inc. T-gate formation using a modified conventional poly process
US7008832B1 (en) 2000-07-20 2006-03-07 Advanced Micro Devices, Inc. Damascene process for a T-shaped gate electrode
US6509282B1 (en) 2001-11-26 2003-01-21 Advanced Micro Devices, Inc. Silicon-starved PECVD method for metal gate electrode dielectric spacer
AU2003249717A1 (en) * 2002-07-31 2004-02-16 Advanced Micro Devices, Inc. Process for manufacturing mosfets using amorphous carbon replacement gate and structures formed in accordance therewith
JP2004152790A (ja) * 2002-10-28 2004-05-27 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US7078298B2 (en) * 2003-05-20 2006-07-18 Sharp Laboratories Of America, Inc. Silicon-on-nothing fabrication process
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
KR100481185B1 (ko) 2003-07-10 2005-04-07 삼성전자주식회사 완전 게이트 실리사이드화 공정을 사용하여 모스트랜지스터를 제조하는 방법
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6887751B2 (en) * 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7923782B2 (en) 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7037794B2 (en) * 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
US7227205B2 (en) 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
CN100539035C (zh) * 2004-09-10 2009-09-09 中芯国际集成电路制造(上海)有限公司 半导体集成电路硅单晶片衬底背面氮化硅层的新腐蚀方法
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7238565B2 (en) 2004-12-08 2007-07-03 International Business Machines Corporation Methodology for recovery of hot carrier induced degradation in bipolar devices
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US7202513B1 (en) 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7709317B2 (en) 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7863197B2 (en) 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8461009B2 (en) * 2006-02-28 2013-06-11 International Business Machines Corporation Spacer and process to enhance the strain in the channel with stress liner
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
CL2007002097A1 (es) 2006-07-20 2008-01-18 Smithkline Beecham Corp Compuestos derivados de pirrolidina o morfolina antagonistas de urotensina ii; composicion farmaceutica que comprende a dichos compuestos; y su uso para tratar insuficiencia cardiaca congestiva, insuficiencia cardiaca isquemica, angina, isquemia del miocardio, vejiga hiperactiva, asma y/o copd, entre otras.
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
KR100927398B1 (ko) * 2007-06-26 2009-11-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
CN102157437B (zh) * 2010-02-11 2013-12-25 中国科学院微电子研究所 半导体结构的形成方法
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
KR102044667B1 (ko) * 2013-05-28 2019-11-14 엘지디스플레이 주식회사 산화물 박막 트랜지스터를 구비한 평판표시장치 및 그의 제조방법
KR20150091895A (ko) * 2014-02-04 2015-08-12 에스케이하이닉스 주식회사 반도체 장치 및 그 동작방법
CN105185816A (zh) * 2015-10-15 2015-12-23 京东方科技集团股份有限公司 阵列基板及其制造方法、显示装置
US11600234B2 (en) 2015-10-15 2023-03-07 Ordos Yuansheng Optoelectronics Co., Ltd. Display substrate and driving method thereof
WO2021035416A1 (zh) 2019-08-23 2021-03-04 京东方科技集团股份有限公司 显示装置及其制备方法
CN109979984B (zh) * 2017-12-27 2023-08-29 深圳尚阳通科技股份有限公司 超结器件及其制造方法
EP4020575A4 (en) 2019-08-23 2022-12-14 BOE Technology Group Co., Ltd. DISPLAY DEVICE AND METHOD OF MANUFACTURING IT
CN112840461A (zh) 2019-08-23 2021-05-25 京东方科技集团股份有限公司 显示面板及其制造方法、显示装置
CN115735244A (zh) 2019-08-23 2023-03-03 京东方科技集团股份有限公司 像素电路及驱动方法、显示基板及驱动方法、显示装置
EP4020572A4 (en) 2019-08-23 2022-09-07 BOE Technology Group Co., Ltd. DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF, AND DRIVING SUBSTRATE
EP4024466A4 (en) 2019-08-27 2022-10-05 BOE Technology Group Co., Ltd. ELECTRONIC DEVICE SUBSTRATE AND METHOD OF MAKING IT, AND ELECTRONIC DEVICE THEREOF

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3617824A (en) * 1965-07-12 1971-11-02 Nippon Electric Co Mos device with a metal-silicide gate
US4337476A (en) * 1980-08-18 1982-06-29 Bell Telephone Laboratories, Incorporated Silicon rich refractory silicides as gate metal
US5219770A (en) * 1983-11-30 1993-06-15 Fujitsu Limited Method for fabricating a MISFET including a common contact window
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4702792A (en) 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4694565A (en) * 1986-04-28 1987-09-22 Rockwell International Corporation Method of making hardened CMOS sub-micron field effect transistors
EP0252179B1 (en) * 1986-07-11 1992-05-27 International Business Machines Corporation Process for producing undercut mask profiles
US4849376A (en) * 1987-01-12 1989-07-18 Itt A Division Of Itt Corporation Gallium Arsenide Technology Center Self-aligned refractory gate process with self-limiting undercut of an implant mask
JP2807226B2 (ja) * 1987-09-12 1998-10-08 ソニー株式会社 半導体装置の製造方法
US4914500A (en) 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US5146291A (en) * 1988-08-31 1992-09-08 Mitsubishi Denki Kabushiki Kaisha MIS device having lightly doped drain structure
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4956311A (en) * 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
JP2519608B2 (ja) * 1990-04-16 1996-07-31 三菱電機株式会社 半導体装置およびその製造方法
US5212102A (en) * 1990-08-22 1993-05-18 National Semiconductor Corporation Method of making polysilicon Schottky clamped transistor and vertical fuse devices
US5281769A (en) 1990-11-05 1994-01-25 Nippon Telegraph And Telephone Corporation Dewall plating technique
KR100274555B1 (ko) * 1991-06-26 2000-12-15 윌리엄 비. 켐플러 절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법
JP3023933B2 (ja) * 1991-06-28 2000-03-21 株式会社村田製作所 半導体装置の製造方法
US5196357A (en) * 1991-11-18 1993-03-23 Vlsi Technology, Inc. Method of making extended polysilicon self-aligned gate overlapped lightly doped drain structure for submicron transistor
US5334545A (en) * 1993-02-01 1994-08-02 Allied Signal Inc. Process for forming self-aligning cobalt silicide T-gates of silicon MOS devices
US5451546A (en) 1994-03-10 1995-09-19 National Semiconductor Corporation Masking method used in salicide process for improved yield by preventing damage to oxide spacers
KR0148296B1 (ko) 1994-07-28 1998-12-01 문정환 반도체 소자의 격리방법
US5656519A (en) 1995-02-14 1997-08-12 Nec Corporation Method for manufacturing salicide semiconductor device
JP2663905B2 (ja) * 1995-03-30 1997-10-15 日本電気株式会社 半導体装置の製造方法
JP3093620B2 (ja) * 1995-10-19 2000-10-03 日本電気株式会社 半導体装置の製造方法
US5767004A (en) * 1996-04-22 1998-06-16 Chartered Semiconductor Manufacturing, Ltd. Method for forming a low impurity diffusion polysilicon layer
US5858843A (en) 1996-09-27 1999-01-12 Intel Corporation Low temperature method of forming gate electrode and gate dielectric
US6010929A (en) * 1996-12-11 2000-01-04 Texas Instruments Incorporated Method for forming high voltage and low voltage transistors on the same substrate
TW358989B (en) 1997-04-08 1999-05-21 United Microelectronics Corp Method of forming gold-oxygen semiconductor cells
US6372566B1 (en) * 1997-07-03 2002-04-16 Texas Instruments Incorporated Method of forming a silicide layer using metallic impurities and pre-amorphization
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
TW423080B (en) * 1997-11-08 2001-02-21 Winbond Electronics Corp Semiconductor device and its manufacturing method
US5966597A (en) * 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6069387A (en) * 1998-04-06 2000-05-30 Advanced Micro Devices, Inc. Lightly doped drain formation integrated with source/drain formation for high-performance transistor formation
JP2000091350A (ja) * 1998-09-11 2000-03-31 Japan Radio Co Ltd 半導体電界効果トランジスタの製造方法
JP2001044421A (ja) * 1999-07-27 2001-02-16 Mitsubishi Electric Corp Misfetの製造方法

Also Published As

Publication number Publication date
US6274421B1 (en) 2001-08-14
KR19990066850A (ko) 1999-08-16
EP0929105A3 (en) 1999-12-22
US20010009784A1 (en) 2001-07-26
EP0929105A2 (en) 1999-07-14
TW434901B (en) 2001-05-16
KR100352715B1 (ko) 2003-03-26
US20040014292A1 (en) 2004-01-22
US6632731B2 (en) 2003-10-14

Similar Documents

Publication Publication Date Title
KR100352715B1 (ko) 서브미크론금속게이트mos트랜지스터및그의형성방법
US6388296B1 (en) CMOS self-aligned strapped interconnection
US5731239A (en) Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US6124189A (en) Metallization structure and method for a semiconductor device
EP0562207B1 (en) Method of forming thin film pseudo-planar PFET devices and structures resulting therefrom
US6800513B2 (en) Manufacturing semiconductor device including forming a buried gate covered by an insulative film and a channel layer
US20050048722A1 (en) Method of manufacturing semiconductor device
KR100483413B1 (ko) 반도체집적회로장치와그제조방법
KR19980064586A (ko) 반도체 장치 및 그 제조 방법
US6214656B1 (en) Partial silicide gate in sac (self-aligned contact) process
US6326251B1 (en) Method of making salicidation of source and drain regions with metal gate MOSFET
US6420273B1 (en) Self-aligned etch-stop layer formation for semiconductor devices
JP4751705B2 (ja) 半導体装置の製造方法
US6034401A (en) Local interconnection process for preventing dopant cross diffusion in shared gate electrodes
JP4491858B2 (ja) 半導体装置の製造方法
JP2003523626A (ja) 半導体装置の製造方法
US6479336B2 (en) Method for fabricating semiconductor device
JP3190858B2 (ja) 半導体装置およびその製造方法
US6368960B1 (en) Double sidewall raised silicided source/drain CMOS transistor
JP2001068546A (ja) 半導体装置の製造方法
US6458702B1 (en) Methods for making semiconductor chip having both self aligned silicide regions and non-self aligned silicide regions
US6638829B1 (en) Semiconductor structure having a metal gate electrode and elevated salicided source/drain regions and a method for manufacture
JP3859439B2 (ja) Mosfet構造の製造方法
US6521517B1 (en) Method of fabricating a gate electrode using a second conductive layer as a mask in the formation of an insulating layer by oxidation of a first conductive layer
JPH11177085A (ja) 半導体装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040330

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040902