KR100352715B1 - 서브미크론금속게이트mos트랜지스터및그의형성방법 - Google Patents

서브미크론금속게이트mos트랜지스터및그의형성방법 Download PDF

Info

Publication number
KR100352715B1
KR100352715B1 KR10-1998-0055958A KR19980055958A KR100352715B1 KR 100352715 B1 KR100352715 B1 KR 100352715B1 KR 19980055958 A KR19980055958 A KR 19980055958A KR 100352715 B1 KR100352715 B1 KR 100352715B1
Authority
KR
South Korea
Prior art keywords
layer
region
mos transistor
gate
electrode
Prior art date
Application number
KR10-1998-0055958A
Other languages
English (en)
Other versions
KR19990066850A (ko
Inventor
셍 탱 수
데이비드 알. 에반스
튜에 구옌
Original Assignee
샤프 마이크로일렉트로닉스 테크놀러지 인코포레이티드
샤프 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 샤프 마이크로일렉트로닉스 테크놀러지 인코포레이티드, 샤프 가부시키가이샤 filed Critical 샤프 마이크로일렉트로닉스 테크놀러지 인코포레이티드
Publication of KR19990066850A publication Critical patent/KR19990066850A/ko
Application granted granted Critical
Publication of KR100352715B1 publication Critical patent/KR100352715B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape

Abstract

M0S 트랜지스터는 도프된 단결정 실리콘 기판상에 형성되어 제 1형의 도전층을 형성하며 : 기판상에 형성된 활성영역; 제 2형의 도전채널을 형성하도록 도프된, 상기 활성영역에 위치하는 소스영역 및 드레인영역; 상기 소스영역 및 드레인영역 사이의 상기 활성영역에 위치하는 금속게이트영역으로서, 상기 금속게이트가 1미크론 미만의 폭을 갖는 금속게이트영역; 상기 게이트영역 위에 위치하는 게이트산화물영역; 구조체 위에 위치하는 산화물영역; 및 각각 해당하는 영역들에 접속되며, 콘택트금속과 전극금속의 조합으로 형성되는 소스전극, 게이트전극, 및 드레인전극을 포함한다. 다른 실시예에서는 게이트전극들 사이의 상호접속, 및 한편의 트랜지스터의 드레인전극과 다른 한편의 트랜지스터의 드레인전극의 상호접속을 갖는 한쌍의 M0S 트랜지스터를 포함한다.

Description

서브 미크론 금속 게이트 MOS 트랜지스터 및 그의 형성 방법
본 발명은 M0S 반도체장치 및 그 제조방법에 관한 것으로, 더 구체적으로 대단히 얇은 금속게이트를 갖는 M0S 트랜지스터에 관한 것이다.
금속산화물 반도체(M0S)장치는 여러 가지의 것이 알려져 있다. 이들의 장치에는, 집적회로의 게이트영역에 대단히 짧은 채널 길이를 마련하는 것이 바람직하다. 이러한 조건을 만족시키는 한 방법으로서, n+또는 p+를 도프한 2극성(dual polarity) 폴리실리콘게이트를 이용하는 방법이 있다. 이러한 게이트를 형성하는프로세스에 있어서, 보론 또는 보론 화합물이 기판으로 주입되며, 일반적으로 주입된 보론 화합물은 기판으로 너무 깊게 침투하게 된다. 또한, 보론 화합물의 도핑 밀도는 상당히 높은데, 그렇지 않으면 게이트가 공지화되어 채널 전류 밀도가 낮게 되기 때문이다.
채터지(Chatterjee)등은, "게이트 치환 프로세스에 의해 형성된 서브 1OO nm 게이트 길이 금속 게이트 NMOS 트랜지스터" (국제전자장치회의, 1997년 12월 7∼10일, 페이지 821∼824)에서 이 문제에 대해, 게이트영역의 윗쪽으로 폴리실리콘 치환에 의해 형성되는 서브 1OOnm 게이트 구성에 관하여 논하고 있다.
종래의 금속게이트 형성 프로세스는 복잡하고 자기정합 구성 부분의 형성시에 구조상의 난제가 야기된다. 예컨대, 동은 이상적인 금속게이트를 형성하지만, 얇은 막으로는 부착성이 부족하게 된다. 알루미늄도 금속게이트로서 사용할 수 있지만, 전기이동의 문제가 발생된다.
M0S 트랜지스터는 도프된 단결정 실리콘 기판상에 형성되어 제 1형의 도전층을 형성하며 : 기판상에 형성된 활성영역; 제 2형의 도전채널을 형성하도록 도프된, 상기 활성영역에 위치하는 소스영역 및 드레인영역; 상기 소스영역 및 드레인영역 사이의 상기 활성영역에 위치하는 금속게이트영역으로서, 상기 금속게이트가 1미크론 미만의 폭을 갖는 금속게이트영역; 상기 게이트영역 위에 위치하는 게이트산화물영역; 구조체 위에 위치하는 산화물영역; 및 각각 해당하는 영역들에 접속되며, 콘택트금속과 전극금속의 조합으로 형성되는 소스전극, 게이트전극, 및 드레인전극을 포함한다. 다른 실시예에서는 게이트전극들 사이의 상호접속, 및 한편의 트랜지스터의 드레인전극과 다른 한편의 트랜지스터의 드레인전극의 상호접속을 갖는 한쌍의 M0S 트랜지스터를 포함한다.
본 발명의 목적은 서브 미크론 채널 길이 금속게이트 M0S 집적회로를 제조하기 위한 비용이 저렴한 방법을 제공하는 것이다. 본 발명의 다른 목적은 높은 전류밀도를 갖는 서브 미크론 채널 길이 금속게이트 M0S 집적회로를 제공하는 것이다.
본 발명의 이들 및 그 밖의 목적 및 장점은 이하의 설명을 도면을 참조하여 이해하면 더욱 분명하게 된다.
도 1 내지 6은 본 발명의 서브 미크론 금속게이트 M0S 트랜지스터의 연속적인 제조 공정을 나타낸 도면,
도 7은 본 발명에 따라 형성된 M0S IC의 정면도,
도 8내지 10은 본 발명의 서브 미크론 금속게이트 M0S 트랜지스터의 다른 실시예의 연속적인 제조 공정을 나타낸 도면, 및
도 11은 본 발명에 따라 형성된 M0S IC의 다른 실시예의 정면도이다.
본 실시예에서는 서브미크론 금속게이트 금속산화물 반도체(M0S) 트랜지스터를 제공하는 금속으로서 동을 사용하였다. "서브미크론" 은, 본 발명의 구조에 사용되는 금속게이트의 폭이 1OOOnm 미만인 것을 의미한다. 임의의 적절한 집적회로 상호접속 재료가 사용될 수 있다. 이러한 재료에는 알루미늄이 대표적이고, 또한 모든 고융점금속이 포함된다. 또한, 본 실시예에서는, n-채널 M0S 트랜지스터가 사용되고 있지만, 상기 구조 및 제조 프로세스는 p-채널 M0S 트랜지스터 및 상보형 금속산화물 반도체(CM0S) 집적을 제공하기 위해서도 사용가능하고, 이 경우에도 실리콘기판의 적절한 도핑을 처음에 실행해야 된다.
도 1을 참조하면, M0S 트랜지스터(11)를 형성하기 위해서 사용되는 실리콘기판(10)이 제공된다. 기판(10)은 p-실리콘기판 윗쪽의 활성영역 근방에, 경계(12,14)로 나타낸 바와 같이 산화물 절연 경계를 형성하도록 처리되어, 소자 영역(15)을 규정한다. 당업자들에게 알려져 있는 바와 같이, 실리콘기판은 제 1형의 불순물로 도핑되어 p-웰을 제공하도록 처리되어, 제 1형의 도전 채널을 형성하고, 본 발명의 장치의 제조를 위해 적합한 임계전압조정을 하게된다. 예컨대, p-기판(10)은 30keV에서 80keV의 에너지 레벨 및 1.0× 1012cm-2에서 1.O× 1O14cm-2의 도즈량으로 일회의 보론 이온 주입을 행하고, 이어서 열확산함으로써 형성된다. 이와다르게, p-기판(10)은 복수의 보론 이온 주입을 행하고, 이어서 열확산처리함에 의해 형성될 수 있다.
도 2를 참조하면, 게이트 산화물 영역(16)이 10nm 이하의 두께로 형성되어 있다. 이 산화물 영역은 종래의 열산화 또는 고속열산화, 화학기상성장법(CVD) 또는 그 밖의 알맞은 방법에 의해서 형성된다.
프로세스의 이 단계에서, 폴리실리콘 재료층(18)이 퇴적될 수 있다. 상기 층(18)은 10nm 및 50 nm 사이의 두께를 가진다. 이 층은 게이트 산화물을 계속되는 처리중에서 보호하기 위해서 퇴적되며, 제거되거나 또는 임의 타입의 배리어 또는 금속층으로 치환될 수 있고, 후에 게이트영역으로 되는 게이트산화물을 효과적으로, 또한 효율적으로 보호한다.
질화실리콘(Si3N4)층(20)은 300nm 및 700nm 사이의 두께로 퇴적될 수 있다. 적절한 포토레지스트 재료가 도포되어, 질화물층 및 폴리실리콘층이 플라즈마 에칭됨으로써, 도 2에 나타낸 바와 같이 폴리실리콘 영역(18) 및 질화물 플러그(20)가 형성된다. 상기 에칭 프로세스는 1단계 또는 2단계의 공정 프로세스로 될 수 있다. 2단계 공정 프로세스에서는, 제 1 에칭 프로세스가 게이트 산화물층(16)에서 정지한다. 그 다음의 에칭 공정에서는 플러그(20) 및 영역(18)의 양측에 있는 게이트 산화물층을 제거한다. 1단계 공정 프로세스에서는, 에칭에 의해 p-실리콘기판(10)까지의 불필요한 재료가 모두 제거된다. 질화물 플러그(20), 폴리실리콘 영역(18), 및 나머지 산화물층(16)을 본 명세서에서는 스택층이라고 한다. 적절한 이온이 LDD영역(도시 안됨)을 형성하기 위해 주입된다. 이러한 주입에 의해, p-실리콘기판중에서 게이트영역(25)도 디폴트로 규정된다. 게이트영역(25)은 본 명세서에서는 제 1 형 도전 채널이라고도 한다. 소스영역(22) 및 드레인영역(24)은 임의의 알려져 있는 프로세스에 의해 형성되어, 가볍게 도프된 도전영역을 형성한다. 이 프로세스 LDD, MDD, 및 HALO 구조를 포함한다.
CVD에 의해 폴리실리콘 영역(18) 및 질화물 플러그(20) 위에 50nm 및 200nm 사이의 두께로 산화실리콘층이 형성된다. 이 산화실리콘층은 플라즈마 에칭되어, 도 3에 나타낸 바와 같이 산화물 스페이서(26,28)를 질화물 플러그(20)의 측벽에 형성한다. 필요하다면, 실리콘 질화물 이외의 다른 임의의 절연체도 프로세스의 이 공정에서 사용될 수 있다.
적절한 이온이 주입되어 소스영역(22) 및 드레인영역(24)을 형성하고 중화 또는 활성화시킨다. 소스영역과 드레인영역을 본 명세서에서는 제 2형 도전 채널,n+채널이라 하며, 기판내에 주입되는 불순물을 제 2형 도핑 불순물이라 한다. 예컨대, LDD는 인(P) 또는 비소(As) 이온을 70keV(P) 또는 140keV(As)를 넘지 않는 에너지, 및 1.O× 1O13cm-2에서 5.O× 1O14cm-2사이의 도즈량으로 주입함에 의해 행해진다. n+층은 10keV 및 8OkeV 사이의 에너지 레벨, 및 1.O× 1O15cm-2에서 5.O× 1O15cm-2사이의 도즈량으로 비소 이온을 주입함으로써 형성된다. 이때, 필요에 따라서 실리사이드 프로세스도 실행될 수 있다. 실리사이드 (자기정합 실리사이드) 형성 프로세스는 Ti 또는 Co 층을 바람직하게는 CVD법에 의해서, 30 nm 및 60nm 사이의 두께로, Ti에 대해서는 500℃에서 650℃, 또한 Co에 대해서는 450℃에서 600℃의 질소분위기에서 형성하는 프로세스를 포함한다. 과잉의 Ti 또는 Co는 에칭으로 제거되어, Ti는 700℃에서 850℃로, Co는 650℃에서 800℃의 질소분위기에서 고속열어닐링(RTA)된다.
일단 소스영역과 드레인영역이 형성되면, 도 4에 나타낸 바와 같이 이산화실리콘이 CVD에 의해 질화 실리콘 플러그(20)의 약 1.5배 내지 2배의 두께로 재차 추가되어, 산화물영역(30,32)이 된다. 이 구조는, 바람직하게는 화학적 기계연마 프로세스에 의해서 평탄화되어, 질화실리콘 플러그(20)의 상부 표면을 노출시킨다. CMP에의한 평탄화(planarization)는 약 5%의 균일성을 갖는 표면을 제공한다.
도 5를 참조하면, 이 구조는 산화물영역(30,32)의 특정 영역을 보호하기 위해서 포토레지스트로 덮혀진다. 트렌치(34,36)는 소스전극 및 드레인전극 각각에대하여 형성된다. 비어홀(38,40)은 소스전극 및 드레인전극 각각의 부가적 부분에 대해 형성되어, 양전극이 각각의 도전영역에 접속되게 한다. 상기 방법의 이 부분은 종래의 투-마스크 프로세스, 더블 레지스트 프로세스 또는 하프톤 마스크 프로세스를 포함한다. 본 발명에서는 총칭하여 전극 수용 구조라고 부르지만, 트렌치 및 비어홀을 형성한후, 포토레지스트는 구조체에서 벗겨진다. 프로세스의 다음 공정에서는, 인산(H3PO4)용액, 또는 질화실리콘 플러그(20)를 제거하지만, 산화물영역(30,32), 실리콘영역(10) 및 폴리실리콘층(18)을 에칭하지 않는 임의의 적절한 선택적 에천트의 웨트 에칭을 포함한다. 이로써, 게이트전극용의 비어홀(42)을 구비한 도 5의 구조가 얻어진다.
도 6을 참조하면, Ti, Ta, TaN, TaSiN, W, WN, WSiN 또는 Re 등의 콘택트금속층, 및 TiN 등의 배리어 금속이 CVD에 의해서 퇴적되며, 이를 참조 부호(44)로 나타낸다. 다음, 동등의 금속 재료가 MOCVD 또는 다른 CVD, PVD 및 스퍼터링 프로세스에 의해 퇴적되어, 영역(46)이 형성된다. 전술한 바와 같이, 알루미늄 또는 고융점금속등의 다른 금속을 사용할 수 있다. 그 후, 금속영역(46) 및 콘택트금속(44)은 평면 에칭되며, 이 에칭은 산화물영역(30,32)에서 정지하여, 도 7에 나타낸 바와 같이, 소스전극(48), 게이트전극(50), 및 드레인전극(52)이 형성된다. 이 평면에칭으로는 CMP 프로세스가 바람직하다. 이 구조체는 500℃ 및 900℃ 사이의 온도로 반시간동안 어닐링되어, 전극과 도전채널 사이에 양호한 콘택트를 형성한다. 폴리실리콘층(18)은 어닐링 프로세스중에 실리사이드(54)로 변환된다.종래의 폴리실리콘 게이트는 금속게이트 전극(50)으로 변환된다. 이 장치의 게이트 길이는 게이트전극(50)의 폭에 의해 결정된다. 상기 구조는 3차원이고, 폭과 거의 동일한 깊이(도면의 페이지 방향)를 가지며, 게이트에 대해 일반적으로 장방형 활성영역을 형성하며, 트랜지스터의 활성영역 이외의 부분에는 다른 3차원 구조를 형성한다.
분명한 것은, 소스전극, 게이트전극 및 드레인전극의 재료중 어느것이나 동일한 것이고, 제 1 단계의 상호접속중에 동시에 형성된다. 게이트는 소스영역 및 드레인영역과 자기정합된다. 예로서, n-채널 M0S 트랜지스터를 사용하였지만, 실리콘 도판트를 적절하게 교환함으로써 동일 방법이 채널 트랜지스터 및 CM0S IC의 제조에도 응용될 수 있다. 채널 영역의 도핑 밀도는 높은 구동전류 및 낮은 기판 바이어스 효과를 얻기 위해서 낮다.
이와 다른 프로세스 및 구조로서, 한쌍의 MOS 트랜지스터(56,58) 사이에 국소적 상호접속을 형성할 수 있다. 도 8을 참조하면, 전술한 바와 같은 동일한 초기 공정이 실행되며, 기판(60)이 적절한 극성을 갖도록 적절하게 도프되며, 이 경우에는 p-기판으로 되는 기판이 형성된다. 이산화 실리콘 절연 영역(62,64,66)이 형성되고, 이산화 실리콘층(68)이 열성장 또는 CVD에 의해 퇴적된다. 본 실시예에서는, 기판에 제 3형 도핑 불순물, 즉 인을, 50keV에서 180keV의 에너지 레벨 및 1.0× 1O12cm-2에서 5.O× 1O13cm-2의 도즈량으로 주입함으로써, 본 명세서에서 제 3형 도전채널이라 하는 n-웰(70)이 형성된다.
도 9를 참조하면, n-채널(72) 및 p-채널(74)의 게이트전극이 상기한 바와 같이, 즉, 질화실리콘 치환 플러그의 형성에 의해서 제조된다. LDD 및 p+및 n+는 종래의 방법으로 주입된다. p-채널 LDD는 100keV 미만의 에너지 및 1.O× 1O13cm-2에서 5.O× 1O14cm-2의 도즈량으로 BF2에 의해 형성된다. p-채널소스 및 드레인영역은 BF2이온을 10keV에서 60keV 사이의 에너지 및 1.O× 1O15cm-2에서 5.O× 1O15cm-2의 도즈량으로 주입하여 형성된다. 임의의 실리사이드 영역은 전술한 바와 같이 형성된다. 소스영역(76,82) 및 드레인영역(80,78)이 형성된다.
다음, 산화물층(84)이 CVD에 의해 퇴적된다. 산화물층(84)은 배리어 금속(72,74)의 두께의 약 3배 이상으로 두꺼워야 한다. (도면에는 축척이 표시 안됨.) 산화물층(84)의 표면은 도 9에 나타낸 형태로 화학적 기계 연마에 의해 평탄화된다.
도 10을 참조하면, 산화층은 포토레지스트에 의해 덮혀지고, 도 10에 도시된 구성에 대해서 다마신 트렌치 및 콘택트 에칭되어, 소스(76)와 접속하는 소스 전극의 트렌치 및 비어홀(86), 소스영역(82)과 접속하는 소스전극의 영역을 제공하는 트렌치/비어홀(88) 및 드레인영역(80) 및 드레인영역(78)에 접속되는 전극을 제공하는 트렌치/비어홀(90)이 형성된다. 또한, 산화층은 에칭으로 제거되어 홀(92,94)을 제공함으로써 게이트전극에 대한 공간을 제공하며, 이 공간은 도면에는 없지만, 각각 게이트(77,79)에 접속되는 상호접속 영역을 더 포함한다.
이 때, 도 6을 참조하여 설명한 바와 같이, 제 2 배리어 금속이 CVD에 의해 퇴적된다. 제 2 배리어 재료로는 TiN, WN 또는 n+및 p+실리콘 양쪽에 양호한 오믹 접촉을 제공하여, 실리콘으로의 금속 확산을 방지하도록 선택되는 다른 적절한 배리어 금속이 사용된다. 다음, 금속이 CVD 또는 스퍼터링에 의해서 구조체상에 퇴적되며, 그 금속으로는 Cu, Mo, W, A1, 또는 다른 적절한 금속이 사용될 수 있다. 상기 구조체는 산화물 영역의 레벨에 대해 화학적 기계 연마되어, 도 11에 나타낸 구성으로 된다. 도 11에 나타낸 바와 같이, 제 1 nMOST 소스전극(96)이 형성되고, 제 2 pMOST 소스전극(98)이 형성된다. 상호접속 전극(100)은 nMOST 드레인 영역(80) 및 pMOST 드레인영역(78)에 접속된다. 게이트전극(102,104)은 국소적 상호접속(106)에 의해 결합된다.
따라서, 서브미크론 금속게이트 M0S 트랜지스터 및 그의 변형을 설명하였다. 이와 같이 형성된 집적회로는 높은 구동 전류 및 낮은 기판 바이어스 효과의 특징을 가진다. 상기 구조는 단순하고, 또한 비용 절감 효과가 높은 서브미크론 금속게이트 M0S 트랜지스터의 형성 방법이다.
바람직한 실시예, 및 그의 변형예들이 개시되었지만, 첨부된 특허청구의 범위에 정의된 본 발명의 범위를 벗어나지 않고 다른 변형 및 개조가 이루어질 수 있을 것이다.

Claims (18)

  1. 제 1형의 도전층을 형성하도록 도프된 단결정 실리콘 기판;
    상기 기판상에 형성된 활성영역;,
    제 2형의 도전채널을 형성하도록 도프된, 상기 활성영역내에 위치하는 소스영역 및 드레인영역;
    상기 소스영역 및 드레인영역 사이의 상기 활성영역에 위치하며, 1 미크론 미만의 폭을 갖는 금속 게이트영역;
    상기 게이트영역 윗쪽에 위치하는 게이트 산화물 영역;
    구조체 윗쪽에 위치하는 산화물영역; 및
    상기 각각의 영역에 접속되며, 콘택트 금속과 전극 금속의 조합으로 형성되는 소스전극, 게이트전극, 및 드레인전극을 포함하는 M0S 트랜지스터.
  2. 제 1 항에 있어서, 상기 제 1형의 도전층이 p-층인 MOS 트랜지스터.
  3. 제 2 항에 있어서, 상기 p-층이 약 30keV 내지 80keV 사이의 에너지 및 1.O× 1O12cm-2내지 1.O×1O14cm-2사이의 도즈량으로 일회의 보론 이온 주입, 및 열확산에 의해 형성되는 MOS 트랜지스터.
  4. 제 2 항에 있어서, 상기 p-층이 복수의 보론 이온 주입 및 열확산에 의해 형성되는 MOS 트랜지스터.
  5. 제 1 항에 있어서, 상기 제 2형의 도전층이 n+층인 MOS 트랜지스터.
  6. 제 5 항에 있어서, 상기 n+층이 약 80keV 이하의 에너지 및 약 1.0× 1O15cm-2내지 5.O× 1O15cm-2사이의 도즈량의 비소 이온 주입에 의해 형성되는 MOS 트랜지스터.
  7. 제 1 항에 있어서, 상기 콘택트 금속이 TiN, Ta, TaN, TaSiN, W, WN, WSiN 및 Re로 이루어지는 군에서 선택되는 MOS 트랜지스터.
  8. 제 1 항에 있어서, 상기 전극 금속이 Cu, Al 및 고융점금속으로 이루어지는 금속의 군에서 선택되는 M0S 트랜지스터.
  9. 제 1 항에 있어서, 상기 게이트전극과 게이트산화물층 사이에 실리사이드층을 포함하는 M0S 트랜지스터.
  10. 제 1 항에 있어서, 제 2 MOS 트랜지스터가 상기 제 1 MOS 트랜지스터에 인접하게 형성되며, 상기 2개의 트랜지스터들의 게이트전극이 상호접속되고 상기 제 1 M0S 트랜지스터의 드레인전극이 상기 제 2 MOS 트랜지스터의 드레인전극에 상호접속되는 MOS 트랜지스터.
  11. 제 10 항에 있어서, 상기 제 2 MOS 트랜지스터가 n-웰상에 형성되는 M0S 트랜지스터.
  12. 기판에 실리콘소자 영역을 형성하는 단계;
    상기 실리콘 소자 영역에 제 1형의 도핑 불순물을 주입하여 게이트영역으로서 사용되는 제 1형의 도전 채널을 형성하는 단계;
    상기 소자영역의 각 측면에 절연 영역 경계를 형성하는 단계;
    상기 기판상의 절연 영역 경계내에 산화실리콘층을 퇴적하는 단계;
    상기 산화실리콘층의 윗쪽에 약 10nm 내지 50nm 범위의 두께로 폴리실리콘층을 퇴적하는 단계;
    상기 폴리실리콘층의 윗쪽에 약 300nm 내지 700nm의 두께로 질화실리콘층을 퇴적하는 단계;
    상기 질화실리콘층, 폴리실리콘층 및 산화실리콘층을 에칭하여, 질화실리콘 플러그, 폴리실리콘영역, 및 산화실리콘을 포함하는 스택층을 상기 게이트영역의윗쪽에 형성하는 단계;
    산화실리콘층을 상기 기판, 절연 영역 경계, 및 스택층의 윗쪽에 약 50nm 내지 200 nm 사이의 두께로 퇴적하는 단계;
    상기 산화실리콘층을 에칭하여, 상기 스택층의 측벽 근처에 스페이서를 형성하는 단계;
    제 2형의 이온을 상기 기판내에 주입하여, 소스영역 및 드레인영역으로서 사용되는 제 2형의 도전층을 형성하는 단계;
    산화실리콘층을 상기 절연 영역 경계, 상기 제 2형의 도전층, 및 스택층의 윗쪽에 상기 스택층의 약 1.5 내지 2.0배 사이의 두께로 퇴적하는 단계;
    상기 스택층의 최상부 레벨까지 구조체를 평탄화하는 단계;
    소스전극 및 드레인전극에 대한 전극 수용 구조를 형성하는 단계;
    상기 구조를 선택적으로 에칭하여 상기 질화실리콘 플러그를 제거함으로써, 게이트전극의 전극 수용 구조를 형성하는 단계;
    콘택트 금속층을 퇴적하는 단계;
    전극 금속층을 퇴적하는 단계;
    1 미크론 미만의 크기를 가진 금속게이트부를 형성하는 단계;
    상기 구조체를 평탄화 에칭하는 단계; 및
    상기 구조체를 500℃ 내지 900℃ 범위의 온도로 30분간 어닐링하는 단계를 포함하는 단결정 실리콘 기판상에 M0S 트랜지스터를 형성하는 방법.
  13. 제 12 항에 있어서, 상기 제 1 도전층 형성 단계는 약 30keV 내지 50keV 범위의 에너지레벨, 및 약 1.O× 1O12cm-2내지 5.O× 1O14cm-2범위의 도즈량으로 보론 이온을 주입하는 단계를 포함하는 방법.
  14. 제 12 항에 있어서, 상기 제 2 도전층 형성 단계는 약 10keV 내지 80keV 범위의 에너지레벨 및 약 1.O× 1O15cm-2내지 5.O× 1O15cm-2범위의 도즈량으로 비소 이온을 주입하여 n+층을 형성하는 단계를 포함하는 방법.
  15. 제 12 항에 있어서, 상기 콘택트 금속층의 퇴적 단계는 TiN, Ta, TaN, TaSiN, W, WN, WSiN, 및 Re로 이루어지는 군에서 선택된 금속층을 퇴적하는 단계를 포함하는 방법.
  16. 제 12 항에 있어서, 상기 전극 금속층의 퇴적 단계는 Cu, A1, 및 고융점금속으로 이루어지는 금속군에서 선택된 금속층을 퇴적하는 단계를 포함하는 방법.
  17. 제 12 항에 있어서, 제 3형의 도전층을 상기 제 1 활성영역에 인접하도록 상기 기판내에 형성하는 단계 및 그 위에 제 2 MOS 트랜지스터를 형성하는 단계를 더 포함하는 방법.
  18. 제 17 항에 있어서, 상기 2개의 MOS 트랜지스터의 게이트전극을 상호접속하고, 상기 제 1 MOS 트랜지스터의 드레인전극을 상기 제 2 MOS 트랜지스터의 드레인전극에 상호접속하는 단계를 포함하는 방법.
KR10-1998-0055958A 1998-01-09 1998-12-18 서브미크론금속게이트mos트랜지스터및그의형성방법 KR100352715B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/004,991 US6274421B1 (en) 1998-01-09 1998-01-09 Method of making metal gate sub-micron MOS transistor
US9/004,991 1998-01-09

Publications (2)

Publication Number Publication Date
KR19990066850A KR19990066850A (ko) 1999-08-16
KR100352715B1 true KR100352715B1 (ko) 2003-03-26

Family

ID=21713564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0055958A KR100352715B1 (ko) 1998-01-09 1998-12-18 서브미크론금속게이트mos트랜지스터및그의형성방법

Country Status (5)

Country Link
US (3) US6274421B1 (ko)
EP (1) EP0929105A3 (ko)
JP (1) JPH11224949A (ko)
KR (1) KR100352715B1 (ko)
TW (1) TW434901B (ko)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100624961B1 (ko) * 1999-10-20 2006-09-19 주식회사 하이닉스반도체 메탈 게이트를 채용한 트랜지스터 제조방법
TW514992B (en) 1999-12-17 2002-12-21 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6607950B2 (en) 2000-03-30 2003-08-19 Interuniversitair Microelektronic Centrum (Imec) MIS transistors with a metal gate and high-k dielectric and method of forming
US6417084B1 (en) * 2000-07-20 2002-07-09 Advanced Micro Devices, Inc. T-gate formation using a modified conventional poly process
US7008832B1 (en) 2000-07-20 2006-03-07 Advanced Micro Devices, Inc. Damascene process for a T-shaped gate electrode
US6509282B1 (en) 2001-11-26 2003-01-21 Advanced Micro Devices, Inc. Silicon-starved PECVD method for metal gate electrode dielectric spacer
WO2004012256A1 (en) * 2002-07-31 2004-02-05 Advanced Micro Devices, Inc. Process for manufacturing mosfets using amorphous carbon replacement gate and structures formed in accordance therewith
JP2004152790A (ja) * 2002-10-28 2004-05-27 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US7078298B2 (en) * 2003-05-20 2006-07-18 Sharp Laboratories Of America, Inc. Silicon-on-nothing fabrication process
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
KR100481185B1 (ko) 2003-07-10 2005-04-07 삼성전자주식회사 완전 게이트 실리사이드화 공정을 사용하여 모스트랜지스터를 제조하는 방법
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6887751B2 (en) * 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7923782B2 (en) * 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7037794B2 (en) * 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
US7227205B2 (en) 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
CN100539035C (zh) * 2004-09-10 2009-09-09 中芯国际集成电路制造(上海)有限公司 半导体集成电路硅单晶片衬底背面氮化硅层的新腐蚀方法
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7238565B2 (en) 2004-12-08 2007-07-03 International Business Machines Corporation Methodology for recovery of hot carrier induced degradation in bipolar devices
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7709317B2 (en) 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7863197B2 (en) 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
US7635620B2 (en) * 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8461009B2 (en) * 2006-02-28 2013-06-11 International Business Machines Corporation Spacer and process to enhance the strain in the channel with stress liner
US7521307B2 (en) 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
CL2007002097A1 (es) 2006-07-20 2008-01-18 Smithkline Beecham Corp Compuestos derivados de pirrolidina o morfolina antagonistas de urotensina ii; composicion farmaceutica que comprende a dichos compuestos; y su uso para tratar insuficiencia cardiaca congestiva, insuficiencia cardiaca isquemica, angina, isquemia del miocardio, vejiga hiperactiva, asma y/o copd, entre otras.
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
KR100927398B1 (ko) * 2007-06-26 2009-11-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
CN102157437B (zh) * 2010-02-11 2013-12-25 中国科学院微电子研究所 半导体结构的形成方法
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
KR102044667B1 (ko) * 2013-05-28 2019-11-14 엘지디스플레이 주식회사 산화물 박막 트랜지스터를 구비한 평판표시장치 및 그의 제조방법
KR20150091895A (ko) * 2014-02-04 2015-08-12 에스케이하이닉스 주식회사 반도체 장치 및 그 동작방법
WO2021035415A1 (zh) 2019-08-23 2021-03-04 京东方科技集团股份有限公司 显示装置及其制备方法
WO2021035414A1 (zh) 2019-08-23 2021-03-04 京东方科技集团股份有限公司 像素电路及驱动方法、显示基板及驱动方法、显示装置
US11600234B2 (en) 2015-10-15 2023-03-07 Ordos Yuansheng Optoelectronics Co., Ltd. Display substrate and driving method thereof
CN105185816A (zh) * 2015-10-15 2015-12-23 京东方科技集团股份有限公司 阵列基板及其制造方法、显示装置
WO2021035420A1 (zh) 2019-08-23 2021-03-04 京东方科技集团股份有限公司 显示面板及其制造方法、显示装置
CN109979984B (zh) * 2017-12-27 2023-08-29 深圳尚阳通科技股份有限公司 超结器件及其制造方法
CN112740421A (zh) 2019-08-23 2021-04-30 京东方科技集团股份有限公司 显示装置及其制备方法
CN112771674B (zh) 2019-08-27 2022-02-22 京东方科技集团股份有限公司 电子装置基板及其制作方法、电子装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09116038A (ja) * 1995-10-19 1997-05-02 Nec Corp 半導体装置の製造方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3617824A (en) * 1965-07-12 1971-11-02 Nippon Electric Co Mos device with a metal-silicide gate
US4337476A (en) * 1980-08-18 1982-06-29 Bell Telephone Laboratories, Incorporated Silicon rich refractory silicides as gate metal
US5219770A (en) * 1983-11-30 1993-06-15 Fujitsu Limited Method for fabricating a MISFET including a common contact window
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4702792A (en) 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4694565A (en) * 1986-04-28 1987-09-22 Rockwell International Corporation Method of making hardened CMOS sub-micron field effect transistors
DE3685495D1 (de) * 1986-07-11 1992-07-02 Ibm Verfahren zur herstellung einer unteraetzten maskenkontur.
US4849376A (en) * 1987-01-12 1989-07-18 Itt A Division Of Itt Corporation Gallium Arsenide Technology Center Self-aligned refractory gate process with self-limiting undercut of an implant mask
JP2807226B2 (ja) * 1987-09-12 1998-10-08 ソニー株式会社 半導体装置の製造方法
US4914500A (en) * 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US5146291A (en) * 1988-08-31 1992-09-08 Mitsubishi Denki Kabushiki Kaisha MIS device having lightly doped drain structure
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4956311A (en) * 1989-06-27 1990-09-11 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
JP2519608B2 (ja) * 1990-04-16 1996-07-31 三菱電機株式会社 半導体装置およびその製造方法
US5212102A (en) * 1990-08-22 1993-05-18 National Semiconductor Corporation Method of making polysilicon Schottky clamped transistor and vertical fuse devices
US5281769A (en) 1990-11-05 1994-01-25 Nippon Telegraph And Telephone Corporation Dewall plating technique
KR100274555B1 (ko) * 1991-06-26 2000-12-15 윌리엄 비. 켐플러 절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법
JP3023933B2 (ja) * 1991-06-28 2000-03-21 株式会社村田製作所 半導体装置の製造方法
US5196357A (en) * 1991-11-18 1993-03-23 Vlsi Technology, Inc. Method of making extended polysilicon self-aligned gate overlapped lightly doped drain structure for submicron transistor
US5334545A (en) * 1993-02-01 1994-08-02 Allied Signal Inc. Process for forming self-aligning cobalt silicide T-gates of silicon MOS devices
US5451546A (en) 1994-03-10 1995-09-19 National Semiconductor Corporation Masking method used in salicide process for improved yield by preventing damage to oxide spacers
KR0148296B1 (ko) 1994-07-28 1998-12-01 문정환 반도체 소자의 격리방법
US5656519A (en) 1995-02-14 1997-08-12 Nec Corporation Method for manufacturing salicide semiconductor device
JP2663905B2 (ja) * 1995-03-30 1997-10-15 日本電気株式会社 半導体装置の製造方法
US5767004A (en) * 1996-04-22 1998-06-16 Chartered Semiconductor Manufacturing, Ltd. Method for forming a low impurity diffusion polysilicon layer
US5858843A (en) 1996-09-27 1999-01-12 Intel Corporation Low temperature method of forming gate electrode and gate dielectric
US6010929A (en) * 1996-12-11 2000-01-04 Texas Instruments Incorporated Method for forming high voltage and low voltage transistors on the same substrate
TW358989B (en) 1997-04-08 1999-05-21 United Microelectronics Corp Method of forming gold-oxygen semiconductor cells
US20020045307A1 (en) * 1997-07-03 2002-04-18 Jorge Kittl Method of forming a silicide layer using metallic impurities and pre-amorphization
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
TW423080B (en) * 1997-11-08 2001-02-21 Winbond Electronics Corp Semiconductor device and its manufacturing method
US5966597A (en) * 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6069387A (en) * 1998-04-06 2000-05-30 Advanced Micro Devices, Inc. Lightly doped drain formation integrated with source/drain formation for high-performance transistor formation
JP2000091350A (ja) * 1998-09-11 2000-03-31 Japan Radio Co Ltd 半導体電界効果トランジスタの製造方法
JP2001044421A (ja) * 1999-07-27 2001-02-16 Mitsubishi Electric Corp Misfetの製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09116038A (ja) * 1995-10-19 1997-05-02 Nec Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
JPH11224949A (ja) 1999-08-17
US6632731B2 (en) 2003-10-14
EP0929105A2 (en) 1999-07-14
KR19990066850A (ko) 1999-08-16
TW434901B (en) 2001-05-16
EP0929105A3 (en) 1999-12-22
US20040014292A1 (en) 2004-01-22
US20010009784A1 (en) 2001-07-26
US6274421B1 (en) 2001-08-14

Similar Documents

Publication Publication Date Title
KR100352715B1 (ko) 서브미크론금속게이트mos트랜지스터및그의형성방법
US5731239A (en) Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US5736419A (en) Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
US6388296B1 (en) CMOS self-aligned strapped interconnection
US6627502B1 (en) Method for forming high concentration shallow junctions for short channel MOSFETs
US6806534B2 (en) Damascene method for improved MOS transistor
US5933741A (en) Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
US6096591A (en) Method of making an IGFET and a protected resistor with reduced processing steps
US6083780A (en) Semiconductor device and method of fabrication thereof
US6214656B1 (en) Partial silicide gate in sac (self-aligned contact) process
US20090014789A1 (en) Semiconductor device and method for manufacturing the same
US20020086490A1 (en) Method of fabricating semiconductor device
US20050151203A1 (en) Temporary self-aligned stop layer is applied on silicon sidewall
US20060134874A1 (en) Manufacture method of MOS semiconductor device having extension and pocket
KR100568077B1 (ko) 반도체장치의 제조방법
US6207482B1 (en) Integration method for deep sub-micron dual gate transistor design
JP2001156290A (ja) 半導体装置
US20030047781A1 (en) Semiconductor device on silicon-on-insulator and method for manufacturing the semiconductor device
KR20010100915A (ko) 반도체장치 및 그의 제조방법
US6110788A (en) Surface channel MOS transistors, methods for making the same, and semiconductor devices containing the same
JP3190858B2 (ja) 半導体装置およびその製造方法
US6368960B1 (en) Double sidewall raised silicided source/drain CMOS transistor
JP2002543609A (ja) シャロージャンクション半導体デバイスの製造方法
US6635522B2 (en) Method of forming a MOS transistor in a semiconductor device and a MOS transistor fabricated thereby
US6312999B1 (en) Method for forming PLDD structure with minimized lateral dopant diffusion

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080818

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee