JP2003523626A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2003523626A
JP2003523626A JP2001560436A JP2001560436A JP2003523626A JP 2003523626 A JP2003523626 A JP 2003523626A JP 2001560436 A JP2001560436 A JP 2001560436A JP 2001560436 A JP2001560436 A JP 2001560436A JP 2003523626 A JP2003523626 A JP 2003523626A
Authority
JP
Japan
Prior art keywords
layer
semiconductor device
sub
manufacturing
semiconductor body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001560436A
Other languages
English (en)
Inventor
ユーリ、ポノマレフ
マリアン、エヌ.ウェブスター
チャールズ、ジェイ.ジェイ.ダチス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics NV filed Critical Philips Electronics NV
Publication of JP2003523626A publication Critical patent/JP2003523626A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1041Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface
    • H01L29/1045Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a non-uniform doping structure in the channel region surface the doping structure being parallel to the channel length, e.g. DMOS like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Glass Compositions (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 本発明は、第一の導電タイプの半導体本体(1)の表面(2)にトランジスタを設けられ、このトランジスタがゲート(28)を持ち、このゲートが上記第一の導電タイプの半導体本体の表面(2)の所に設けられたチャネル(13)からゲート誘電体(26)によって絶縁される半導体装置の製造方法に関する。本発明によると、上記表面(2)上に構造体が設けられるが、この構造体は凹部(16)を持つ誘電層(14)から成る。この凹部は上記半導体本体(1)の上記表面(2)に設けられた第二の導電タイプのソース領域(11、9)およびドレイン領域(12、9)と整合され、上記半導体本体(1)の上記表面(2)に対して実質的に垂直に延びる側壁(17)を持つ。この凹部(16)内に第一のサブ層(18)とこの上の第二のサブ層(19)から成る二重層(20)が設けられる。上記第二のサブ層(19)の厚さの一部が上記第一のサブ層が露出されるまで除去され、上記第一のサブ層(18)が所定の深さまで、上記第二のサブ層(19)および上記凹部(16)の上記側壁(17)に対して選択的にエッチングされ、これによって上記半導体本体(1)の上記表面(2)に実質的に垂直に延びるトレンチ(21)が形成される。これらトレンチ(21)を介して、上記第一の導電タイプの不純物が上記半導体本体(1)内に導入され、これによってポケットインプラトン(22)が形成される。

Description

【発明の詳細な説明】
【0001】 本発明は、第一の導電タイプの半導体本体の表面にトランジスタが設けられ、
このトランジスタがゲートを持ち、このゲートが半導体本体の表面に設けられた
チャネルからゲート誘電体によって絶縁される半導体装置の製造方法に関する。
【0002】 上述のような方法が米国特許明細書第5,534,447号において開示されている。
この従来の方法においては、例えば、開口が設けられたシリコン酸化膜のシール
ド層が前記第一の導電タイプの半導体本体の表面に設けられ、上記開口の側壁に
よって前記トランジスタのチャネルが画定される。上記開口の側壁には、例えば
、シリコン窒化膜の側壁スペーサが設けられる。上記側壁スペーサによって区切
られた上記半導体本体の表面にゲート誘電体が設けられ、この上に、例えば、多
結晶シリコンの導電層を設けることでトランジスタのゲートが形成される。次に
、上記側壁スペーサが除去され、これによって上記ゲートおよび上記シールド層
によって区切られたトレンチが形成され、これらトレンチを介して上記第一およ
び第二導電タイプの不純物が上記半導体本体内に導入される。次に、上記シール
ド層が除去され、上記半導体本体の表面に上記第二の導電タイプのソース領域お
よびドレイン領域が設けられる。
【0003】 上述の従来の方法の短所は、上記トレンチを介しての不純物の導入が上記ソー
ス領域およびドレイン領域の形成の前に行なわれ、上記ソース領域およびドレイ
ン領域の形成に不随して、約1000℃での高温アニーリングが行なわれるため
、これらトレンチを介してそれ以前に導入されたこれら不純物が望ましくない再
拡散され、このためトランジスタの性能が害なわれることである。
【0004】 本発明の一つの目的は、とりわけ、半導体本体内に局所的に導入された不純物
が製造過程の後の段階において望ましくない再拡散を受けないようなやり方にて
、上記トレンチを介して不純物を上記半導体本体内に局所的に導入することが可
能な半導体装置の製造方法を提供することである。
【0005】 本発明によると、この目的は上記半導体の表面上に構造体を設けることで達成
される。この構造体は凹部を持つ誘電層から成り、この凹部は上記半導体本体の
上記表面に設けられた第二の導電タイプのソース領域およびドレイン領域と整合
されるとともに、上記半導体本体の上記表面に対して実質的に垂直に延びる側壁
を持つ。この凹部内に、第一のサブ層とこの上の第二のサブ層から成る二重層が
設けられる。上記第二のサブ層の厚さの一部が上記第一のサブ層が露出されるま
で除去され、上記第一のサブ層が所定の深さまで、上記第二のサブ層および上記
凹部の上記側壁に対して選択的にエッチングされ、これによって上記半導体本体
の上記表面に実質的に垂直に延びるトレンチが形成される。これらトレンチを介
して上記第一の導電タイプの不純物が上記半導体本体内に導入され、これによっ
てポケットインプラントが形成される。
【0006】 本発明による上述の手段によって、上記トレンチを介して上記半導体本体内に
局所的に導入される不純物が、上記ソース領域およびドレイン領域の形成に伴う
高温でのアニーリングに曝されることが回避される。こうして、局所的に導入さ
れる不純物の望ましくない再拡散の問題が解消される。
【0007】 上記ソース領域およびドレイン領域と整合する凹部を持つ上記誘電層から成る
構造体は、上記半導体の上記表面にソース領域とドレイン領域を設け、その後、
この上に上記ソース領域および上記ドレイン領域と整合する凹部を持つ誘電層を
設けることで得られる。勿論、これら凹部を上記ソース領域および上記ドレイン
領域と整合するように設けるためには高い精度が必要とされる。本発明の一つの
好ましい実施例においては、従って、上記構造体を得るために、ゲート形成領域
にパターン層が設けられ、このパターン層をマスクとして用いて上記第二の導電
タイプのソース領域およびドレイン領域が設けられ、その後、上記誘電層が上記
パターン層の隣において誘電層の厚さが上記パターンド層の厚さと実質的に等し
いかあるいはこれより高くなるように設けられ、この誘電層の厚さの一部が材料
除去処理にて上記パターン層が露出するまで除去され、その後、上記パターン層
が除去され、上記凹部が上記ソース領域および上記ドレイン領域と整合するよう
に設けられる。こうして、上記リセスは上記ソース領域およびドレイン領域に対
して自己整合的に設けられる。
【0008】 本発明の方法のさらなる長所が他の従属クレームにおいて記載される。
【0009】 以下では、本発明のこれらおよびその他の特徴を図面を用いて説明する。
【0010】 以下では本発明がMOSトランジスタに基づいて説明されるが、当業者におい
ては明らかなように、本発明はフローティングゲートトランジスタとも呼ばれる
フローティングゲートを備えるMOSトランジスタ、あるいはCMOSおよびB
ICMOS集積回路の製造にも同様に効果的に適用できる。
【0011】 図1乃至図13は、本発明の方法の第一の実施例を用いての半導体装置の製造
の一連の過程を断面図にて示す。
【0012】 この過程は、第一の導電タイプの(不純物を)低濃度にドーピングされた半導
体本体1、本具体例では、例えば、p型導電タイプの(不純物)を、例えば、1
×1015cm−3(なる濃度にてドーピングされた)シリコン本体から開始さ
れる(図1参照)。この半導体本体1の表面2に、比較的厚い酸化素子分離領域
3が設けられる。これら領域は、少なくとも一部分半導体本体1内に凹部が形成
されるように設けられ、これによって活性領域4が画定され、後に、この内部に
トランジスタ、本具体例ではnチャネルMOSトランジスタが製造される。この厚
い酸化素子分離領域3はLOCOS(LOCal Oxidation of Silicon)あるいはS
TI(Shallow Trench Isolation)等の通常の方法にて形成される。その後、半
導体本体1の表面2に、例えば、シリコン酸化膜から成る層5が設けられ、この
層5の、この過程の後の段階において形成されるゲート領域、つまり、ゲート形
成領域(area of a planned gate)が、パターン層8にて覆われる。本具体例で
は、パターン層8は、第一のサブ層6と第二のサブ層7から成る2重層を堆積す
ることで得られる。第一のサブ層6は、例えば、多結晶シリコンから成り、これ
が、ドーパント、例えば、リン、あるいは場合によってはホウ素にてドーピング
され、この上に、例えば、シリコン窒化膜(silicon nitride)から成る第二の
サブ層7が堆積される。その後、この二重層が、例えば、通常のリソグラフィに
よってパターニングされる。シリコン窒化膜の代わりに、任意の他の適当な材料
、例えば、酸化アルミニウム(aluminum oxide)、あるいは材料の組合せを用い
ることもできる。多結晶シリコンの代わりに、アモルファスシリコンあるいは多
結晶SiGeを用いることもできる。ここで、xは、0〜1の範囲内の
シリコンの割合を表す。上述のパターン層は、単一の層とすることもできるが、
多結晶シリコン、アモルファスシリコンあるいは多結晶SiGe、ある
いは他の適当な耐熱材料(refractory material)、例えば、シリコン窒化膜あ
るいは酸化アルミニウム膜から成る複合層とすることもできる。さらに、層5は
、ここでは、半導体本体を汚染および/あるいはエッチングに対して保護するた
めに設けられるが、絶対に必要なわけではない。パターン層8を設けた後、パタ
ーン層8の両側に、第二の反対の導電タイプ、本具体例ではn型導電タイプ、の
ソース/ドレイン領域9が、パターン層8および酸化素子分離領域3をマスクと
して、例えば、リンあるいはヒ素を、相対的に低濃度、自己整合的にイオンイン
プランテーション(self-aligned ion implantation)することで形成される。
【0013】 その後、パターン層8に、側壁スペーサ10が、例えば、周知の方法にて、例
えば、シリコン酸化膜層の堆積と異方性エッチバックによって設けられる(図2
参照)。側壁スペーサ10の形成の後、側壁スペーサ10の両側に、第二の導電
タイプ、本具体例ではn型導電タイプの高濃度にドーピングされたソース領域1
1とドレイン領域12が、酸化素子分離領域3、パターン層8および側壁スペー
サ10をマスクとして、例えば、リンあるいはヒ素を、相対的に高濃度、自己整
合的にイオン打込みすることで形成される。このソース領域11とドレイン領域
12のイオン打ち込みに不随して、こうして打込まれた不純物を活性化するため
、および半導体本体1の格子の打込みによる損傷を修復するために、高温、例え
ば、約1000℃でのアニーリングが行なわれる。半導体本体1の表面2の所に
チャネル13が設けられるが、このチャネル13はソース領域11、9とドレイ
ン領域12、9間に広がっていることに注意する。
【0014】 次に、図3に示すように、本具体例ではシリコン酸化膜から成る相対的に厚い
誘電層14が、パターン層8の隣においてこの誘電層14の厚さがパターン層8
の高さと実質的に等しいかそれ以上となるような方法にて設けられる。勿論、他
の適当な電気的絶縁材料、例えば、PSG(phosphosilicate glass)あるいは
BPSG(borophosphosilicate glass)を用いることもできる。
【0015】 その後(図4)、誘電層14の厚さの一部がパターン層8が露出するまで除去
される。これは、例えば、化学的機械研磨(chemical-mechanical polishing、C
MP)によって、例えば、市販の研磨剤を用いて達成される。この材料除去処理の
際、本具体例ではシリコン窒化膜から成る第二のサブ層7がストッパ層として機
能する。
【0016】 次に、図5に示すように、第二のサブ層7と第一のサブ層6が2つの別個のエ
ッチング工程にて除去される。本具体例ではシリコン窒化膜から成る第二のサブ
層7は、例えば、熱い硫酸と硝酸の混合物を用いての湿式エッチングによって選
択的に除去される。本具体例では多結晶シリコンから成る第一のサブ層6は、例
えば、熱いKOHを用いての湿式エッチング、あるいは、例えば、HBr/Cl を用いてのプラズマエッチングによって選択的に除去される。説明の例ではシ
リコン酸化膜から成る層5は、半導体本体を汚染および/あるいはエッチングに
対して保護するためにそのままにとどめられる。ただし、この層5をHFを用いて
のディップエッチ(dip-etch)にて除去することもできる。
【0017】 次のステップ(図6参照)において、本具体例では多結晶シリコンから成る追
加の層15が通常の方法で設けられる。こうして凹部16が設けられるが、この
凹部16はソース領域11、9およびドレイン領域12、9と整合する一方、半
導体本体1の表面2に対して実質的に垂直に延びる側壁17を持つ。こうして追
加の層15によってリセス16の側壁17が形成される。
【0018】 次に、図7に示すように、第一のサブ層18とこの上の第二のサブ層19から
成る二重層20が通常のやり方にて設けられる。本具体例では、第一のサブ層1
8は多結晶シリコンゲルマニウム合金(polycrystalline silicon germanium al
loy)SiGeから成り、ここで、xは0から1の範囲内のシリコンの
割合を表し、第二のサブ層19は多結晶シリコンから成る。
【0019】 重要なことに、追加の層15、第一のサブ層18、および第二のサブ層19の
材料は、第一のサブ層18が追加の層15並びに第二のサブ層19に対して選択
的にエッチングできるように選択される。勿論、他の材料を上述の材料の代わり
に用いることもできる。例えば、追加の層15および第二のサブ層19には、例
えば、アルミニウムを用い、第一のサブ層18には、例えば、シリコン窒化膜を
用いることもできる。勿論、追加の層15と第二のサブ層19は、必ずしも同一
の材料である必要はない。
【0020】 次のステップ(図8参照)において、第二のサブ層19の厚さの一部がマスク
レス過程(maskless process)にて、第一のサブ層18が露出されるまで除去さ
れる。次に、第一のサブ層18および追加の層15がマスクレスを過程にて除去
され、これによって誘電層14が露出される。これら層の任意の一つのマスクレ
ス除去(maskless removal)は、例えば、化学的機械研磨(CMP)にて、例えば
、市販の研磨材を用いて達成される。ただし、第一のサブ層18および追加の層
15のマスクレス除去は、これらの層はこの過程の後の段階でも除去できるため
(図9、10参照)、必ずしもこの段階で行なう必要はない。
【0021】 次に、図9に示すように、本具体例では、多結晶シリコンゲルマニウム合金S
Geから成る第一のサブ層18が、説明の例では両方とも多結晶シリ
コンから成る第二のサブ層19および追加の層15に対して、選択的にエッチン
グされる。これは、HBr/HCl混合物を用いての異方プラズマエッチング、
あるいは、例えば、35:20:10の比率のHNO:HO:dHF(0.08%
)混合物を用いての湿式エッチングによって達成される。第一のサブ層18を所
定の深さまで選択的にエッチングすることで、結果としてトレンチ21が形成さ
れる。これらトレンチ21は実質的に半導体本体1の表面2に対して垂直に延び
る。次のステップにおいて、半導体本体1に本具体例ではp型導電タイプから成
る第一の導電タイプのポケットインプラント(pocket implants)22が形成さ
れる。これは、これらトレンチ21を介してp型不純物、例えばホウ素(B)あ
るいはインジウム(In)を半導体本体1内に自己整合的に導入することで行なわ
れる。このp型不純物の導入は、好ましくは、矢印23によって示されるように
イオンを打込むことで遂行され(ion implantation)、このイオン打込みに不随
して、例えば、こうして打込まれた不純物を活性化するために、例えば、約90
0℃にて、例えば、約10秒間、急速アニール(rapid thermal anneal、RTA)
処理が行なわれる。これとの関連で、ホウ素(B)の打込みは、約2〜20keVの
範囲のエネルギーにて、約5×1013atoms/cm2の濃度(ドーズ)にて行なわ
れ、インジウム(In)の打込みは、約7〜150keVの範囲のエネルギーにて、
約5×1013atoms/cm2の濃度(ドーズ)にて行なわれる。当業者においては
明らかなように、pチャネルMOSトランジスタの場合は、同様な理由により、
アンチモン(Sb)あるいはヒ素(As)が打込まれる。このときは、アンチモン(
Sb)の打込みは、約6.5〜155keVの範囲のエネルギーにて、約5×10
atoms/cm2の濃度にて行なわれ、ヒ素(As)の打込みは、約6〜110keVの範
囲のエネルギーにて、約5×1013atoms/cm2の濃度にて行なわれる。結晶方
向および半導体本体1の平面に沿っての不純物の追加のチャネリングを助けるた
めに、イオンの打込みは、好ましくは、半導体本体1の表面2に実質的に垂直に
遂行される。
【0022】 注目すべきことは、これら不純物はソース領域11およびドレイン領域12を
形成した後、従って、ソース領域およびドレイン領域の形成に伴う高温アニール
の後に、これらトレンチ21を介して半導体本体1に導入されることである。こ
うして、これらトレンチを介して導入される不純物の望ましくない再拡散の問題
が阻止される。
【0023】 次に、図10に示すように、二重層20および追加の層15が除去される。追
加の層15の除去が異方的に遂行される場合は、これによって、二重層20の第
一のサブ層18および第二のサブ層19も同時にリフトオフにて除去できる。本
具体例では多結晶シリコンから成る追加の層15は、例えば、熱KOH溶液を用い
ての湿式エッチングによって、あるいは、例えば、CF/He/O混合物を
用いてのプラズマエッチングによって除去される。ただし、本具体例のように追
加の層15および第二のサブ層19が同一の材料から成る場合は、第二のサブ層
19は追加の層15と同時にエッチングされる。上述のやり方で、誘電層14内
にもう一つの凹部24が形成される。本具体例ではシリコン酸化膜から成る層5
は、そのままにとどめられ、これによってトランジスタのゲート誘電体が構成さ
れる。ただし、好ましくは、層5が汚染される恐れがあるために、これはいった
ん除去され、新たな絶縁層と取り替えられる。
【0024】 次に、図11に示すように、トランジスタのゲート誘電体26を構成する絶縁
層25が設けられる。絶縁層25はシリコン酸化膜から形成することもできるが
、ただし、より好ましくは、シリコン酸化膜のそれより高い誘電定数を持つ誘電
材、例えば、タンタル酸化膜、アルミニウム酸化膜あるいはシリコン窒化膜を用
いる。ゲート誘電体26に対してシリコン酸化膜を用いる場合は、これは、例え
ば、シリコンの化学蒸着あるいは熱酸化によって得られる。タンタル酸化膜、ア
ルミニウム酸化膜およびシリコン窒化膜などの高誘電材料の場合は、例えば、化
学蒸着(CVD)によって設けられる。
【0025】 次に、図12に示すように、導電材27が従来の方法にて設けられ、これによ
ってもう一つの凹部24がゲート材にて満たされる。多結晶シリコン、アモルフ
ァスシリコン、あるいはSiGeなどを用いることもできるが、好まし
くは、導電層27は、金属、例えば、アルミニウム、タングステン、銅あるいは
モリブデン、もしくは金属の組合せから形成される。導電層27は、好ましくは
、接着層および/あるいはバリア層として機能する層とこの上に設けられる金属
、例えば、アルミニウム、タングステン銅あるいはモリブデン、もしくは金属の
組合せから成る層の二重層として設けられる。これとの関連で、接着層はTiから
形成され、バリア層はTiNあるいはTiWから形成される。
【0026】 次のステップ(図13参照)において、導電層27がトランジスタのゲート2
8に整形される。これは、例えば、大きめのサイズのマスクを用いてエッチング
することで行なわれる。この場合は、ゲート28の導電材が誘電層14上に引き
延ばされ、この上に絶縁層25が図10に示すもう一つの凹部24を超えて設け
られる。ただし、より好ましい方法においては、導電層27がマスクレス過程に
て絶縁層25が露出されるまで除去され、これによって誘電層14内に凹み込む
ようにゲート28が形成される。これは、必ずしも必要ではないが、絶縁層25
が高い誘電定数の材料から成る場合は、余分な絶縁層25がマスクレスに除去さ
れ、この結果として図13のような構造が得られる。導電層27のみのあるいは
導電層27と絶縁層25の両方のマスクレス除去は、例えば、化学的機械研磨(
CMP)によって、例えば、市販の研磨剤を用いて行なわれる。
【0027】 最後に、半導体デバイスが、従来のCMOS過程のフローステップ(図示なし
)にて、一つあるいは複数の層を用いて、酸化膜を堆積し、コンタクトを形成し
、相互接続を形成することで完結される。
【0028】 図14乃至図20は、本発明による方法の第二の実施例を用いた半導体装置の
製造における一連の段階を断面図にて示す。
【0029】 図14に示す構造は、図1乃至図5との関連で説明されたそれと類似する過程
を遂行することで得られる。ただし、この実施例は、前の実施例と、nチャネル
MOSトランジスタの代わりに、pチャネルMOSトランジスタが製造される点
で異なる。こうして、第一の導電タイプの(不純物)を低い濃度にてドーピング
された半導体本体1、本具体例では、例えばn型導電タイプの(不純物)を、例
えば1×1015cm−3なる濃度にてドーピングされた、例えばシリコン本体の
表面2に、第二の反対の導電タイプ、本具体例ではp型導電タイプのソース領域
11、9およびドレイン領域12、9が設けられる。例えばシリコン酸化膜から
成る層5は、この例ではトランジスタのゲート誘電体26を得るためにそのまま
に残される(図14参照)。別の方法として、製造過程のこの段階で、層5をHF
を用いてのディップエッチにて除去し、トランジスタのゲート誘電体を構成する
新たな絶縁材にて置換することもできる。図14に示すように、凹部16が設け
られるが、これはソース領域11、9およびドレイン領域12、9と整合すると
ともに、半導体本体1の表面2に実質的に垂直に延びる側壁17を持つ。こうし
て側壁スペーサ10によって凹部16の側壁17が形成される。
【0030】 次に、図15に示すように、二重層20が従来の方法で設けられる。この二重
層20は第一のサブ層18とこの上の第二のサブ層19から成る。本具体例では
、第一のサブ層18は、ホウ素がドープされた多結晶シリコンゲルマニウム合金
SiGeから成り、ここで、xは0から1の範囲内のシリコンの割合を
表し、第二のサブ層19は多結晶シリコンから成る。
【0031】 第一のサブ層18、第二のサブ層19、および側壁スペーサ10の材料は、第
一のサブ層18が、本具体例では両方ともシリコン酸化膜から成る第二のサブ層
19および側壁スペーサ10に対して選択的にエッチングできるように選択され
る。勿論、他の材料の組合せを用いることもできる。一例として、第二のサブ層
19には、例えば、シリコン窒化膜を用い、側壁スペーサ10には、第二のサブ
層18には、例えば、シリコン酸化膜を用い、第一のサブ層18には、例えば、
アルミニウムを用いることもできる。
【0032】 次のステップ(図16参照)において、第二のサブ層19の厚さの一部が、マ
スクレス過程にて、第一のサブ層18が露出されるまで除去され、この第一のサ
ブ層18もマスクレスを過程にて除去され、これによって誘電層14が露出され
る。これら層のマスクレス除去は、例えば、化学的機械研磨(CMP)にて、例え
ば、市販の研磨材を用いて達成される。ただし、第一のサブ層18のマスクレス
除去は、これらの層はこの過程の後の段階でも除去できるため(図17参照)、
必ずしもこの段階で行なう必要はない。
【0033】 次に、図17に示すように、本具体例では多結晶シリコンゲルマニウム合金S
Geから成る第一のサブ層18が、本具体例では多結晶シリコンから
成る第二のサブ層19、および本具体例ではシリコン酸化膜から成る側壁スペー
サ10に対して選択的にエッチングされる。これは、HBr/HCl混合物を用
いての異方プラズマエッチング、あるいは、例えば、35:20:10の比率の
HNO:HO:dHF(0.08%)混合物を用いての湿式エッチングによって達
成される。第一のサブ層18を所定の深さまで選択的にエッチングすることで、
結果として、トレンチ21が形成される。これらトレンチ21は実質的に半導体
本体1の表面2に対して垂直に延びる。次のステップにおいて、これらトレンチ
21を介してn型不純物、例えばアンチモン(Sb)あるいはヒ素(As)を半導体
本体1内に自己整合的に導入することで、半導体本体1内に本具体例ではn型導
電タイプから成る第一の導電タイプのポケットインプラント22が形成される。
このn型不純物の導入は、好ましくは、矢印23によって示されるようにイオン
を打込むことで遂行され、このイオン打込みに不随して、例えば、こうして打込
まれた不純物を活性化するために、例えば、約900℃、例えば約10秒間の急速熱
アニール(RTA)処理が行なわれる。これとの関連で、アンチモン(Sb)の打込
みは、約6.5〜155keVの範囲のエネルギーにて、約5×1013atoms/cm2 の濃度(ドーズ)にて行なわれ、ヒ素(As)の打込みは、約6〜110keVの範
囲のエネルギーにて、約5×1013atoms/cm2の濃度にて行なわれる。当業者
においては明らかなように、nチャネルMOSトランジスタの場合は、同様な理
由により、ホウ素(B)あるいはインジウム(In)が打込まれる。例えば、ホウ
素(B)の打込みは、約2〜20keVの範囲のエネルギーにて、約5×1013at
oms/cm2の濃度にて行なわれ、インジウム(In)の打込みは、約7〜150keVの
範囲のエネルギーにて、約5×1013atoms/cm2の濃度にて行なわれる。結晶
方向および半導体本体1の平面に沿っての不純物の追加のチャネリングを助ける
ために、イオンの打込みは、好ましくは、半導体本体1の表面2に実質的に垂直
に遂行される。
【0034】 注目すべきことに、これら不純物はソース領域11およびドレイン領域12の
形成の後、従って、ソース領域およびドレイン領域の形成に伴う高温アニールの
後に、これらトレンチ21を介して半導体本体1に導入される。こうして、これ
らトレンチを介して導入される不純物の望ましくない再拡散の問題が阻止される
【0035】 次に、図18に示すように、本具体例では多結晶シリコンから成る第二のサブ
層19が除去される。第二のサブ層19の除去は、例えば、熱KOH溶液を用いて
の湿式エッチング、あるいは、例えば、CF/He/O混合物を用いてのプ
ラズマエッチングによって達成さる。こうして、誘電層14内にもう一つの凹部
24が形成される。
【0036】 次に、図19に示すように、導電材27が従来のやり方にて設けられ、これに
よってもう一つの凹部24がゲート材にて満たされる。多結晶シリコン、アモル
ファスシリコン、あるいはSiGeなどを用いることもできるが、好ま
しくは、導電層27は、金属、例えば、アルミニウム、タングステン、銅あるい
はモリブデン、もしくは金属の組合せから形成される。この場合、導電層27は
、接着層および/あるいはバリア層として機能する層とこの上に設けられる金属
、例えば、アルミニウム、タングステン、銅あるいはモリブデン、もしくは金属
の組合せから成る層の二重層として設けられる。これとの関連で、接着層はTi
から形成され、バリア層TiNあるいはTiWから形成される。
【0037】 次のステップ(図20)において、導電層27が成形される。これは、例えば
、大きめのサイズのマスクを用いてエッチングすることで行なわれる。この場合
、導電層27が誘電層14上を図18に示すもう一つの凹部24を超えて引き延
ばされる。ただし、より好ましいやり方においては、導電層27がマスクレス過
程にて誘電層14が露出されるまで除去される。これは、例えば、化学的機械研
磨(CMP)によって、例えば、市販の研磨剤 を用いて行なわれる。第一のサブ層
18とこの導電層27が一体となってトランジスタのゲート28を構成し、この
ゲート28は誘電層14内に凹み込むように形成される。
【0038】 最後に、半導体デバイスが、従来のCMOS過程のフローステップ(図示なし)に
て、一つあるいは複数の層にて、酸化膜を堆積し、コンタクトを形成し、相互接
続を形成することで完結される。
【0039】 上に説明の実施例は、いわゆる置換ゲート過程(replacement gate process)
に基づくが、これに関しては、とりわけ、"Sub-100nm gate length metal gate
NMOS transistors fabricated by a replacement gate process",written by Ch
atteriee et al. and published in IEDM 97 (1997),pp.821-824に説明されてい
るため、これも参照されたい。
【0040】 勿論、本発明は上に説明の実施例に制限されるものではなく、当業者において
は本発明の範囲から逸脱することなく、様々なバリエーションが可能である。
【0041】 例えば、代替として絶縁層としての追加の層を半導体本体の表面に直接に設け
、この絶縁層によって最終的にトランジスタのゲート誘電体を形成することもで
きる。この場合、この追加の層は、ゲート誘電材として使用可能な材料から成り
、これに対して第一のサブ層が選択的に除去できる必要がある。同様に、追加の
層を用いずに、第一のサブ層を半導体本体の表面に直接に設け、この絶縁層によ
って最終的にトランジスタのゲート誘電体を形成することもできる。この場合、
第一のサブ層は、ゲート誘電材として使用可能な材料から成り、第二のサブ層お
よび側壁スペーサに対して選択的に除去できる必要がある。
【0042】 このポケットインプラントの形成の後、これら層に対して適当な材料が用いら
れた場合、追加の層、第一のサブ層(の残された部分)および第二のサブ層はそ
のまま残され、これらが一体となってトランジスタのゲートが構成される。同様
にして、追加の層が用いられない場合は、第一のサブ層(の残された部分)およ
び第二のサブ層がそのままに残され、これらが一体となってトランジスタのゲー
トが構成される。
【0043】 オプションとして、トランジスタのソース領域およびドレイン領域をエクテン
ション(拡張部)なしにインプラントすることもできる。上に説明の実施例にお
いては、活性領域は元の半導体本体の表面領域によって形成されるが、別の方法
として、この活性領域は、従来のpあるいはnウェルにて形成することもできる
。この場合、このウェルは、元の半導体本体の表面に隣接する領域を、nチャネ
ルあるいはpチャネルトランジスタを得るのに適するドーピング濃度にてドーピ
ングすることで得られる。
【図面の簡単な説明】
【図1】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図2】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図3】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図4】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図5】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図6】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図7】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図8】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図9】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図10】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図11】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図12】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図13】 本発明の方法による第一の実施例を用いた半導体装置の製造工程を示す断面図
【図14】 本発明の方法による第二の実施例を用いた半導体装置の製造工程を示す断面図
【図15】 本発明の方法による第二の実施例を用いた半導体装置の製造工程を示す断面図
【図16】 本発明の方法による第二の実施例を用いた半導体装置の製造工程を示す断面図
【図17】 本発明の方法による第二の実施例を用いた半導体装置の製造工程を示す断面図
【図18】 本発明の方法による第二の実施例を用いた半導体装置の製造工程を示す断面図
【図19】 本発明の方法による第二の実施例を用いた半導体装置の製造工程を示す断面図
【図20】 本発明の方法による第二の実施例を用いた半導体装置の製造工程を示す断面図
【符号の説明】
1 半導体本体 2 表面 3 酸化素子分離領域 4 活性領域 5 シリコン酸化膜の層 6 第一のサブ層 7 第二のサブ層 8 パターン層 9 ソース/ドレイン領域(延長) 10 側壁スペーサ 11 ソース領域 12 ドレイン領域 13 チャネル 14 誘電層 15 多結晶シリコン層 16 凹部 17 側壁 18 第一のサブ層 19 第二のサブ層 20 二重層 21 トレンチ 22 ポケットインプラント 24 凹部 25 絶縁層 26 ゲート誘電体 27 導電層 28 トランジスタのゲート
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/49 (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),JP,K R (72)発明者 マリアン、エヌ.ウェブスター オランダ国5656、アーアー、アインドーフ ェン、プロフ.ホルストラーン、6 (72)発明者 チャールズ、ジェイ.ジェイ.ダチス オランダ国5656、アーアー、アインドーフ ェン、プロフ.ホルストラーン、6 Fターム(参考) 4M104 BB01 BB02 CC05 DD03 DD04 FF13 GG09 GG10 GG14 5F140 AA06 AA18 AB03 BA01 BC06 BD05 BD07 BD11 BD12 BE03 BE07 BE09 BE10 BE14 BF04 BF05 BF07 BF10 BF11 BF14 BF15 BF17 BF20 BF21 BF24 BF25 BF27 BG02 BG03 BG04 BG12 BG36 BG40 BG52 BG53 BG54 BH15 BH21 BH35 BK02 BK13 BK21 BK22 CB01 CB04 CC03 CE07 【要約の続き】 よって上記半導体本体(1)の上記表面(2)に実質的 に垂直に延びるトレンチ(21)が形成される。これら トレンチ(21)を介して、上記第一の導電タイプの不 純物が上記半導体本体(1)内に導入され、これによっ てポケットインプラトン(22)が形成される。

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 第一の導電タイプの半導体本体の表面にトランジスタが設けられ、このトラン
    ジスタがゲートを持ち、このゲートが半導体本体の表面に設けられたチャネルか
    らゲート誘電体によって絶縁される半導体装置の製造方法であって、 前記表面の上に、凹部を持つ誘電層から成る構造体が設けられ、前記リセスは
    、前記半導体本体の前記表面に設けられた第二の導電タイプのソース領域および
    ドレイン領域と整合されるとともに前記半導体本体の前記表面に対して実質的に
    垂直に延びる側壁を持ち、前記凹部内に第一のサブ層とこの上の第二のサブ層か
    ら成る二重層が設けられ、前記第二のサブ層の厚さの一部が前記第一のサブ層が
    露出されるまで除去され、前記第一のサブ層が所定の深さまで、前記第二のサブ
    層および前記凹部の前記側壁に対して選択的にエッチングされ、これによって前
    記半導体本体の前記表面に実質的に垂直に延びるトレンチが形成され、これらト
    レンチを介して第一の導電タイプの不純物が前記半導体本体内に導入され、これ
    によってポケットインプラントが形成されることを特徴とする半導体装置の製造
    方法。
  2. 【請求項2】 前記ポケットインプラントの形成の後に、少なくとも前記第二のサブ層が除去
    され、これによって他の凹部が設けられ、前記他の凹部がゲート材にて満たされ
    た導電層が設けられることを特徴とする請求項1記載の半導体装置の製造方法。
  3. 【請求項3】 前記構造体を設けるためにゲート形成領域にパターン層が設けられ、このパタ
    ーン層をマスクとして用いて前記第二の導電タイプのソース領域およびドレイン
    領域が形成され、その後、前記誘電層が前記パターン層の隣において誘電層の厚
    さが前記パターン層の厚さと実質的に等しいかあるいはこれより高くなるように
    設けられ、前記誘電層の厚さの一部が材料除去処理によって前記パターン層が露
    出するまで除去され、その後、前記パターン層が除去され、前記凹部が前記ソー
    ス領域および前記ドレイン領域と整合するように設けられることを特徴とする請
    求項2記載の半導体装置の製造方法。
  4. 【請求項4】 前記ポケットインプラントの形成の後、前記第二のサブ層のみが除去され、前
    記第一のサブ層の少なくとも一部はそのままに残され、前記導電層が前記第一の
    サブ層の前記残された部分の上に設けられることを特徴とする請求項2あるいは
    3に記載の半導体装置の製造方法。
  5. 【請求項5】 前記トランジスタは前記半導体本体の前記表面にpチャネルトランジスタとし
    て設けられ、シリコンゲルマニウム合金が前記第一のサブ層として用いられるこ
    とを特徴とする請求項4記載の半導体装置の製造方法。
  6. 【請求項6】 シリコン酸化膜が前記誘電層として用いられ、シリコンが前記第二のサブ層と
    して用いられることを特徴とする請求項5記載の半導体装置の製造方法。
  7. 【請求項7】 前記パターン層の除去の後、追加の層が設けられ、この追加の層によって前記
    ソース領域および前記ドレイン領域に対して整合された前記凹部の前記側壁が形
    成されることを特徴とする請求項3記載の半導体装置の製造方法。
  8. 【請求項8】 シリコンが前記追加の層および前記第二のサブ層として用いられ、シリコンゲ
    ルマニウム合金が前記第一のサブ層として用いられることを特徴とする請求項7
    記載の半導体装置の製造方法。
  9. 【請求項9】 前記ポケットインプラントの形成の後に前記二重層および前記追加の層が除去
    され、前記半導体本体の前記表面の所に前記ゲート誘電体が設けられ、この後に
    、前記導電層が設けられることを特徴とする請求項7あるいは8に記載の半導体
    装置の製造方法。
  10. 【請求項10】 前記不純物が前記半導体本体内にイオンインプランテーションによって導入さ
    れることを特徴とする請求項1乃至9のいずれかに記載の半導体装置の製造方法
  11. 【請求項11】 前記イオンインプランテーションが実質的に前記半導体本体の前記表面に対し
    て垂直に行われることを特徴とする請求項10記載の半導体装置の製造方法。
  12. 【請求項12】 前記トランジスタが前記半導体本体の前記表面にnチャネルトランジスタとし
    て設けられ、このトランジスタのポケットインプラントが、ホウ素あるいはイン
    ジユウムを導入することで形成されることを特徴とする請求項1乃至11のいず
    れかに記載の半導体装置の製造方法。
  13. 【請求項13】 前記トランジスタが前記半導体本体の前記表面にpチャネルトランジスタとし
    て設けられ、このトランジスタのポケットインプラントが、アンチモンあるいは
    ヒ素を導入することで形成されることを特徴とする請求項1乃至11のいずれか
    に記載の半導体装置の製造方法。
  14. 【請求項14】 前記導電層として、金属を有する層が設けられることを特徴とする請求項2乃
    至13のいずれかに記載の半導体装置の製造方法。
  15. 【請求項15】 前記金属を有する層は、接着および/あるいはバリア層として機能する層とこ
    の上の金属層を有する層から構成される二重層として形成されることを特徴とす
    る請求項14記載の半導体装置の製造方法。
  16. 【請求項16】 前記金属はアルミニウム、タングステン、銅およびモリブデンから成る一群か
    ら選択されることを特徴とする請求項14あるいは15に記載の半導体装置の製
    造方法。
JP2001560436A 2000-02-17 2001-02-09 半導体装置の製造方法 Withdrawn JP2003523626A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP00200540.3 2000-02-17
EP00200540 2000-02-17
EP00200738 2000-03-02
EP00200738.3 2000-03-02
PCT/EP2001/001503 WO2001061741A1 (en) 2000-02-17 2001-02-09 A method of manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
JP2003523626A true JP2003523626A (ja) 2003-08-05

Family

ID=26071854

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001560436A Withdrawn JP2003523626A (ja) 2000-02-17 2001-02-09 半導体装置の製造方法

Country Status (8)

Country Link
US (1) US6544851B2 (ja)
EP (1) EP1186020B1 (ja)
JP (1) JP2003523626A (ja)
KR (1) KR100697894B1 (ja)
AT (1) ATE443926T1 (ja)
DE (1) DE60139972D1 (ja)
TW (1) TW506079B (ja)
WO (1) WO2001061741A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013516083A (ja) * 2009-12-30 2013-05-09 インテル コーポレイション 自己整合コンタクト

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6774001B2 (en) * 1998-10-13 2004-08-10 Stmicroelectronics, Inc. Self-aligned gate and method
KR100701369B1 (ko) * 2002-12-30 2007-03-28 동부일렉트로닉스 주식회사 트랜지스터 및 그 제조 방법
DE10333776B4 (de) * 2003-07-24 2005-06-30 Infineon Technologies Ag Verfahren zur Herstellung einer Gate-Struktur eines FETs
JP2007165541A (ja) * 2005-12-13 2007-06-28 Oki Electric Ind Co Ltd 半導体装置の製造方法
KR100869359B1 (ko) * 2006-09-28 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
CN102087979A (zh) * 2009-12-04 2011-06-08 中国科学院微电子研究所 高性能半导体器件及其形成方法
JP2012038749A (ja) * 2010-08-03 2012-02-23 Elpida Memory Inc 半導体装置およびその製造方法
US9076817B2 (en) * 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US9385044B2 (en) * 2012-12-31 2016-07-05 Texas Instruments Incorporated Replacement gate process
CN108630542B (zh) * 2017-03-17 2021-12-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4173818A (en) * 1978-05-30 1979-11-13 International Business Machines Corporation Method for fabricating transistor structures having very short effective channels
KR0140719B1 (ko) * 1995-03-08 1998-07-15 김주용 모스 전계효과 트랜지스터의 제조방법
US5534447A (en) * 1995-11-13 1996-07-09 United Microelectronics Corporation Process for fabricating MOS LDD transistor with pocket implant
US5899719A (en) * 1997-02-14 1999-05-04 United Semiconductor Corporation Sub-micron MOSFET
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013516083A (ja) * 2009-12-30 2013-05-09 インテル コーポレイション 自己整合コンタクト
US10629483B2 (en) 2009-12-30 2020-04-21 Intel Corporation Self-aligned contacts
US10930557B2 (en) 2009-12-30 2021-02-23 Intel Corporation Self-aligned contacts
US11600524B2 (en) 2009-12-30 2023-03-07 Intel Corporation Self-aligned contacts
US11887891B2 (en) 2009-12-30 2024-01-30 Intel Corporation Self-aligned contacts

Also Published As

Publication number Publication date
EP1186020A1 (en) 2002-03-13
EP1186020B1 (en) 2009-09-23
WO2001061741A1 (en) 2001-08-23
US20010016392A1 (en) 2001-08-23
TW506079B (en) 2002-10-11
KR100697894B1 (ko) 2007-03-20
ATE443926T1 (de) 2009-10-15
US6544851B2 (en) 2003-04-08
DE60139972D1 (de) 2009-11-05
KR20010110768A (ko) 2001-12-13

Similar Documents

Publication Publication Date Title
US6743682B2 (en) Method of manufacturing a semiconductor device
KR100352715B1 (ko) 서브미크론금속게이트mos트랜지스터및그의형성방법
US6916695B2 (en) Semiconductor device and method of manufacturing the same
US20050048722A1 (en) Method of manufacturing semiconductor device
JPH11135745A (ja) 半導体装置及びその製造方法
US6403426B1 (en) Method of manufacturing a semiconductor device
KR100713267B1 (ko) 반도체 디바이스 제조 방법
EP1186020B1 (en) A method of manufacturing a semiconductor device
JP2005019892A (ja) 半導体装置及びその製造方法
KR20010039872A (ko) 게이트 구조의 제조 방법
US6200846B1 (en) Semiconductor device with capacitor formed on substrate and its manufacture method
JP2919690B2 (ja) 半導体装置の製造方法
JPH0653237A (ja) 半導体素子の製造方法
JP3608999B2 (ja) 半導体装置の製造方法
JP2982762B2 (ja) 半導体装置の製造方法
JP3123598B2 (ja) Lsi及びその製造方法
US6319803B1 (en) Method of fabricating semiconductor device
JPH05114702A (ja) 半導体装置の製造方法
JPH10303422A (ja) 半導体装置の製造方法
JP2000208611A (ja) トレンチ素子分離領域を有する半導体装置の製造方法
JP2003332460A (ja) 半導体装置およびその製造方法
JPH09219513A (ja) 半導体装置及びその製造方法
JPH0878362A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080208

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20080612

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090909