JPH06283431A - 基板支持装置、基板支持・運搬装置、及び基板裏側への被着防止方法 - Google Patents

基板支持装置、基板支持・運搬装置、及び基板裏側への被着防止方法

Info

Publication number
JPH06283431A
JPH06283431A JP3201315A JP20131591A JPH06283431A JP H06283431 A JPH06283431 A JP H06283431A JP 3201315 A JP3201315 A JP 3201315A JP 20131591 A JP20131591 A JP 20131591A JP H06283431 A JPH06283431 A JP H06283431A
Authority
JP
Japan
Prior art keywords
substrate
gas
platen
backside
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3201315A
Other languages
English (en)
Inventor
Michael E Thomas
マイケル・イー・トーマス
De Van Everhardus P Van
エバーハーダス・ピー・バンデ・バン
Eliot K Broadbent
エリオット・ケイ・ブロードベント
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
National Semiconductor Corp
Original Assignee
Novellus Systems Inc
National Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc, National Semiconductor Corp filed Critical Novellus Systems Inc
Publication of JPH06283431A publication Critical patent/JPH06283431A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 タングステン他の金属及びケイ化物等の成膜
時にCVD反応器内のウエハの裏側にアルゴン等の適当
な不活性熱ガスを導入して、ウエハ裏側への被着を防止
する。 【構成】 各処理ステーションはプラテンの上方に配置
されたガス分散ヘッドを有する。プラテンはウエハを受
容する円形凹所と、その床面の周壁近傍に設けられた環
状溝とを有する。加熱加圧された背面ガスが環状溝内に
導入されてウエハを凹所内で床面より上方に維持し、か
つプラテン上のウエハ縁部の下側から流出して、プロセ
スガスのウエハ裏側への接触を防止する。また、背面ガ
スを用いてウエハを浮揚させ、適当なウエハ移送機構に
よってプラテンへまたはプラテンから運搬することがで
きる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体の加工技術に関
し、より詳細に言えば、半導体の加工作業中に於けるウ
エハの裏側の保護に関する。
【0002】
【従来の技術】化学蒸着(「CVD」)は、半導体工業
に於て集積回路基板の上にフィルム即ち薄膜として知ら
れる材料の薄い膜層を形成するために一般に使用されて
いる。このCVD法は、選択ガスの熱、プラズマ、また
は熱及びプラズマ分解及び反応を基礎としている。絶縁
体及び誘電体、半導体、導体、超伝導体、及び磁性体に
適当なCVD薄膜としては様々なものが周知されている
にも拘らず、最も広範に使用されているCVD薄膜は二
酸化ケイ素、窒化ケイ素及びポリシリコンである。
【0003】CVD薄膜は微粒子の汚染から保護しなけ
ればならない。タングステン、ケイ化タングステン及び
窒化チタンのような金属または他の導体の化学蒸着に於
ける特に厄介な微粒子の発生源は、一定の条件下でウエ
ハの裏側に形成される薄膜である。例えば、ウエハの裏
側が成膜時に全く保護されずまたは十分に保護されてい
ない場合、CVD材料の被膜が部分的に前記ウエハ裏側
に形成される。このような部分的な被膜は、或る種類の
材料では容易に剥がれて薄片化する傾向があり、成膜時
及びその後の処理過程に於て反応室内に微粒子が持ち込
まれることになる。
【0004】ウエハ裏面への材料の被着の問題を解消す
るために様々な方法が開発されている。或る方法によれ
ば、前記材料がウエハ裏面に被着するのは構わないが、
その場合には成膜工程の直後にその場でプラズマエッチ
ングを用いて除去するようになっている。この方法で
は、追加の処理工程が必要であり、かつ追加の設備能力
が要求されると共に、ウエハの平面性に影響がある。別
の方法では、CVDガスから裏側領域を密封しかつ絶縁
する目的で、ウエハを基板ホルダに把持する。実際上十
分なシール作用を達成することは困難であり、かつ把持
手段とウエハ自体との間の機械的な動作によって微粒子
が生じる。
【0005】更に別の方法が、イトウ(Itoh)の米国特
許第4,817,558号、1989年4月4日発行の
明細書に開示されている。円筒形状をなす基板支持部材
が、ウエハを載置する平坦な支持面に設けられている。
3個のピンが前記支持面の周縁部分から突出している。
シールドの側壁がカバーによって反応ガスから絶縁さ
れ、かつそれには更に基板の高さ位置で該基板の周囲を
包囲する上方の湾曲した領域が設けられている。この上
方の湾曲した領域がウエハの側面にある反応ガスを捕集
し、それによって薄膜のウエハ裏面への被着が防止され
ることが記載されている。
【0006】
【課題を解決するための手段】本発明の基板支持装置に
於ては、基板の裏側に於ける物質の不必要な被着が低減
される。或る実施例では、基板保持手段を有し、かつそ
の表面部分に対して処理される基板の周縁部が十分に密
封されないようなプラテンを備える。前記プラテン表面
部分にはガス分散手段が設けられ、かつ前記ガス分散手
段と一体をなすガス管が前記プラテンを貫通している。
【0007】別の実施例では、反応室内で基板を運搬す
るのに適した基板支持装置が得られる。反応室内には、
前記基板支持装置と共にアームを有するウエハ運搬機構
が設けられている。前記ウエハ運搬機構のアームは、前
記プラテン表面部分より上方の移送領域内に、及び前記
プラテンから離隔した空間内に選択的に移動可能であ
る。前記ガス分散装置及びガス供給源は、前記移送領域
に於て基板を浮揚させる機能を有する。
【0008】或る実施例に於て、プラテン上に基板を受
容する過程と、反応室内にプロセスガスを導入する過程
とからなる方法によって、基板裏面への物質の不必要な
被着が低減される。更に、基板の裏面周辺部と前記プラ
テンとの間の領域内に前記プラテンから或る量の背面ガ
スを一様に分散させ、かつ前記プラテンに対して処理さ
れる前記基板の縁部を十分に密封することなく前記基板
を前記プラテン上に保持する。前記背面ガスの量は、前
記周辺領域の全体に亘ってかつ前記反応室内に向けて、
プロセスガスの基板裏面への接触を妨げるのに十分な前
記背面ガスの放射方向外向きの流れが形成されるように
選択される。
【0009】また、別の実施例では、基板裏面への被着
を低減するための方法には、前記プラテンの上方の移送
領域内に基板を運搬し、かつ前記移送領域内で前記基板
を浮揚させるのに十分な量の背面ガスを前記プラテンか
ら分散させる過程が含まれる。
【0010】更に別の実施例では、裏面への被着を低減
する前記方法は前記プラテンの凹所内に前記基板を配置
する過程を含み、前記背面ガスの量が前記基板を前記凹
所内に於て該凹所の床面より上方に維持するように選択
される。
【0011】
【実施例】高圧化学蒸着(「CVD」)装置の反応室
が、図1の上面図及び図2の側面図に概略図示されてい
る。反応室2はロードロック室1に連通し、そこから処
理されるべきウエハが反応室2内に導入され、かつその
中に処理されたウエハを反応室2から受け入れるように
なっている。反応室2内には、5個のウエハ処理ステー
ション4a〜4eとウエハロード/アンロードステーシ
ョン5とが設けられている。
【0012】室内のガスは、真空排気ポート24に通じ
る反応室2の底部の中央排気口6を介して排出される。
反応室2内でステーションからステーションへとウエハ
を移動させるシステムは、当業者にとって周知の設計か
らなるウエハ運搬機構10とスピンドル回転機構26と
を備える。ウエハ運搬機構10は、6個のアーム16〜
21を有するマルチアーム型スピンドルの形態をなす。
各アーム16〜21は一対の歯で形成されている。前記
各歯には多数の孔(図示せず)を穿孔することができ、
または空気流の妨げを最小とするように変形することが
できる。
【0013】ウエハ処理ステーション4b〜4dが図2
の側面図により詳細に示されている。例えば処理ステー
ション4cは、処理されるべきウエハの上に単一のプロ
セスガスまたは混合プロセスガスを導入するためのガス
分散ヘッド12cと、処理されるべき前記ウエハを支持
するためのプラテン14cと、プラテン14cを介して
処理されているウエハの裏側にガス(「背面」ガス)を
供給するための管13c(図示せず)を備える。処理ス
テーション4cはヒータ15上に取り付けられ、かつ管
13cがヒータ15の中を貫通している。同様に、処理
ステーション4bがガス分散ヘッド12bとプラテン1
4bと管13b(図示せず)とを備え、かつヒータ15
上に取り付けられている。同じく、処理ステーション4
dがガス分散ヘッド12dとプラテン14dと管14d
(図示せず)とを有し、かつヒータ15上に取り付けら
れている。
【0014】背面ガス分配システム30は、ガス分配管
28と、それぞれに各ステーション4a〜4e、5に関
連しかつヒータ15内部に於てガス分配管28に接続さ
れた多数の管13a〜13f(図示せず)とを備える。
管13a〜13fは、加熱要素(図示せず)の近傍に取
り付けることによって、または他の適当な手法によりヒ
ータ15内で加熱され、それによって前記管の中を通過
するガスが加熱されるようになっている。必要に応じて
加熱されたバフル、細かい金網または金属スポンジ(図
示せず)を分配システム30内に配置して、ガスへの熱
の伝達効率を改善することができる。
【0015】図1及び図2に於けるプラテン14a〜1
4eが、図3及び図4にプラテン100として詳細に示
されている。アルミニウムのような適当な材料で形成さ
れたディスク110には、処理されるべき半導体ウエハ
を受容しかつ保持する機能を有する凹み領域112が設
けられている。他の適当な材料には、品質を低下させる
ことなく反応処理環境内で使用することができる特定の
ステンレス鋼合金またはニッケルが含まれる。
【0016】凹み領域112は側壁114と床面116
とを有する。側壁114は床面116に対して法線方向
に5度の角度をもって設けられ、該床面の直径は102
mm(4インチ)のウエハを適合させるために103mm
(4.06インチ)である。床面116には、外径9
3.7mm(3.69インチ)、幅4.83mm(0.19
インチ)、及び深さ4.06mm(0.16インチ)の環
状溝118が設けられている。
【0017】基板保持手段としての凹み領域112の動
作について以下に詳述するが、他の型式の基板保持手段
を使用し得ることはいうまでもない。例えば、ディスク
110の上面を平坦に形成することができ、かつ処理さ
れるべき前記ウエハをディスク110の上面から突出す
るピンによって固定することができる。
【0018】ウエハの処理の際に、例えば図3に示され
るプラテン100の下部から上部に向けてガスの流れが
形成されると仮定する。2ピン式の実施例(図示せず)
では、2個のピンが、例えば2個の先端がそれぞれ放射
方向孔120e、120gの略角度位置に於て図3の側
壁114の直ぐ外側に来る位置に設けられる。これらの
ピンは、流通するガス流から処理される前記ウエハが受
ける力に対抗することができる。必要に応じて3個また
はそれ以上の個数のピンを設けることができる。把持作
用が要求される場合には、前記ピンをディスク110の
中心に向けて内側に傾けることができ、またはディスク
110の中心に向けてそれらの先端を鉤状にすることが
できる。
【0019】その他の適当な保持手段には、ウエハ上面
の周辺部の上方に取り付けられるリング、または3つま
たはそれ以上の位置でウエハの外縁部に係合する爪が含
まれる。処理されるべき前記ウエハは、該ウエハの裏側
に向けられたガスの噴流によって、該噴流からウエハ裏
面に均一にかつ一様な力が供給される限り、固定するこ
とができる。
【0020】図3及び図4のプラテンには、8個の放射
方向孔120a〜120hとそれぞれに対応するオリフ
ィス124a〜124hとを有するガス噴射システムが
設けられている。オリフィス124a〜124hは、放
射方向孔120a〜120hと環状溝118との間に設
けられる。図示されるように、環状溝118は連続的な
環状の通路であるが、他の適当な形状とし得ることは言
うまでもない。例えば、前記通路は区分して不連続に設
けることができ、または処理されているウエハの周縁形
状に適合するような形状とすることができ、特により製
造しやすい形状にすることができる。前記通路の断面形
状は半円形であるが、矩形のような他の適当な形状とす
ることができる。また、前記通路はウエハの周縁部によ
り近づけた位置に設けることができ、または前記通路を
ウエハ周縁部により近接させて配置することによってよ
り均一な圧力の分配が達成されると考えられるが、中心
部に近づけることができる。必要に応じて複数の通路を
設けることができる。
【0021】放射方向孔120a〜120hは互いに4
5度の間隔をもって均等に配置され、かつディスク11
0の中心に集合している。放射方向孔120a〜120
hの放射方向遠端部は、圧入された栓120a〜122
gによって閉塞されている。放射方向孔120a〜12
0hが集合することによって形成されるチャンバ内にデ
ィスク110の底部から通じる孔内に、管部材126が
プレス嵌めされている。管部材126は9.65mm
(0.38インチ)の外径を有する。処理ステーション
4a〜4e及びロード/アンロードステーション5に
は、管部材126と類似のガス分配管13a〜13f
(図示せず)が設けられている。ガス分配管13a〜1
3fは、適当な手法によりヒータ15の中に配管されて
いる。
【0022】放射方向孔120a〜120hの直径及び
管部材129の内径は6.35mm(0.25インチ)で
ある。オリフィス124a〜124hの直径は1.60
mm(0.063インチ)である。一般に、放射方向孔1
20及びオリフィス124の直径並びにオリフィス12
4の個数は設計上の選択の問題であり、ウエハ裏面に供
給しようとするガスの量に合せて選択される。
【0023】ウエハロード/アンロードステーション5
の設計は、図示されるプラテン100と基本的に同一で
ある。しかしながら、凹み112は設ける必要がない。
【0024】図1及び図2に示す装置を用いて、次のよ
うにウエハの上にタングステン、ケイ化タングステン及
び窒化タングステンを含む様々な材料を被着させること
ができる。処理される前記ウエハは、反応室2内にロー
ドロック室1からのロード/アンロードステーション5
に向けて導入される。ウエハをキャリアからロード/ア
ンローステーション5に運搬するための適当な機構は周
知であり、例えば運搬されるべきウエハをその上に載置
する一対の歯を末端に有する多分割アーム機構がある。
【0025】ガス分配システム30の管13a〜13f
は、図示されるプラテン100の放射方向孔120a〜
120hに対応する各ステーション4a〜4e、5内の
孔からなるネットワークに接続されている。アルゴン、
ヘリウム、フレオン、C2 F6 、またはCF4 もしくは
これらの適当な組み合せからなる適当な不活性熱ガス
が、ガス分配システム30内に導入される。熱ガスと
は、ウエハ全体に亘って一様な温度を良好に達成する熱
伝達率及び熱容量を有するあらゆるガスを意味する。不
活性ガスとは、反応室2内及び前記ガス分配システム内
に存在する物質と有害な反応を生じないガスであって、
関連する化学反応に関与しない全てのガスである。この
導入された即ち「背面」ガスの流れは、各ステーション
4a〜4e、5に於て処理されるべき前記ウエハを浮揚
させるように調整される。例えば、毎分1標準リットル
のアルゴンからなる浮揚流が適当である。
【0026】前記ウエハが各ステーション4a〜4e、
5に於て一旦浮揚すると、先に各ステーション4a〜4
e、5間の位置に置かれていたアーム16〜21が反応
室2内の6個の前記各ウエハの下側の位置を新しく取る
ように、ウエハ運搬機構10が所望の方向に30度回転
する。前記ウエハ運搬機構10の前記歯が空気流の妨げ
を最小とするように設計されているので、6個の前記ウ
エハの浮遊バランスが維持される。
【0027】前記背面ガス圧力を、ウエハ運搬機構10
のアーム16〜21の各歯上に前記ウエハを配置するた
めに、十分に低い値または0に低下させる。ここで、ウ
エハ運搬機構10が所望の方向に60度回転することに
よって、前記ウエハは連続する各ステーション4a〜4
e、5へ運搬される。再び、前記背面ガスの流れを、ウ
エハ運搬機構10のアーム16〜21から前記ウエハを
上方へ持ち上げる浮揚圧力値まで増加させる。
【0028】次に、アーム16〜21が再び各ステーシ
ョン4a〜4e、5の間の位置に来るように、ウエハ運
搬機構10を所望の方向に30度回転させる。背面ガス
圧力を適当な低い値または0に低下させることによっ
て、完全に処理されたロード/アンロードステーション
5に於ける前記ウエハを前記ロード/アンロード機構の
歯の上に載置し、かつロードロック室1内に取り出す。
ロード/アンロードステーション5へのガスの流れは適
当なバルブを用いて完全に遮断される。
【0029】ここで、処理されるべき前記ウエハは、各
ガス分配ヘッド12a〜12bの下側の各プラテン14
a〜14eの上に載置されまたはその僅か上方に浮揚す
る。ここで、前記背面ガスの流れはガス分配ヘッド12
a〜12eに於けるプロセスガスの流れに対して調整さ
れて、図3及び図4の凹み112に対応する処理ステー
ション4a〜4eの各凹み領域内に於て処理されるべき
前記ウエハを浮揚させる。前記背面ガスの流れは、前記
ガス分散ヘッド12a〜12eによって前記反応室内2
内のプロセス圧力より幾分高い前記ウエハ上に直接分散
される圧力に釣合うように調整される。反応室2内のプ
ロセス圧力は一般に、使用されるプロセスによるが、約
10Torr(トル)である。
【0030】前記背面ガスがウエハ裏面と床面116と
の間の空隙内に環状溝118を介して導入されると、前
記ウエハの下側に均一な圧力が保持されて、前記ウエハ
縁部の下側から反応室2内に向けて上昇する背面流が維
持される。処理されるべき前記ウエハは、前記ウエハ裏
面と正面との僅かな圧力差によって僅かに浮揚する。
【0031】前記背面ガスは、反応室2内のプロセス圧
力及び前記ウエハ縁部の下側から流出する所望の流速に
従って、1乃至20トルの圧力でかつ約0.3乃至1.
0リットルの流量で供給される。反応室2内の周囲圧力
と前記ウエハの下側の圧力との差は約1乃至約5トルで
あると推定される。
【0032】前記背面ガスは、前記ウエハ縁部の下側か
ら反応室2内へと流れ出る。反応室2内では、前記背面
ガスが前記プロセスガスと混合されて排気口6から排出
され、それによって反応室2の周辺からその中心に向う
放射方向のガス流が形成される。この放射方向のガス流
にウエハ処理ステーション4a〜4eに於て処理されて
いる前記ウエハが乗る傾向があるのに対して、図示され
るプラテン100(図3及び図4)の凹み領域112に
対応するプラテン14a〜14eの凹み領域が基板保持
手段として機能する。前記ウエハ裏面と床面116との
間の前記背面ガスと、前記ウエハ縁部の下側から反応室
2内への外向きの流れとが存在することによって、プロ
セスガスの前記ウエハ裏面への到達が完全に妨げられ、
それによって裏面への被着が防止される。
【0033】前記背面ガスがガス供給管28を介してヒ
ータ15に供給される。ヒータ15は、何らかの適当な
技術によって加熱されている。前記背面ガスはヒータ1
5内部に於て、かつ図示されるプラテン100の放射方
向孔120a〜120hに対応する各ステーション4a
〜4eの各孔の中を流通する際に加熱され、前記ウエハ
に接触する際に該ウエハに熱を伝達する。一般に、前記
背面ガスの温度は350℃乃至450℃の範囲内であ
る。
【0034】様々なプロセスガスを選択することができ
る。例えば、毎分2000オングストロームの被着速度
でタングステン薄膜を被着させる場合には、例えば生成
反応物WF6 を400℃の成膜温度でかつ10トルの処
理圧力に於てH2 の反応物条件下で使用する。図1及び
図2に示す装置では、プロセスガスの流量が毎分25リ
ットル程度である。処理されている前記ウエハに作用す
る実際の圧力は10トルより幾分高いが、これは前記ガ
ス分散ヘッドからのガス流が前記ウエハの表面に直接噴
射されているからである。このようなプロセス条件下で
適当な背面ガスはアルゴンである。この条件下で前記ウ
エハの下側から流れる前記背面ガスの量は、各処理ステ
ーション4a〜4eについてそれぞれ500cm3 乃至2
リットルの範囲内である。
【0035】ウエハの輸送とウエハ裏面の保護との機能
を、保全及び補修のために取外可能な1個の装置内に一
体化した構造が、図5及び図6に示されている。この取
外可能な一体構造体200は、個々のヒータ215a〜
215f上に取り付けられた図示されるプラテン100
に類似する個々のプラテン214a〜214fを備える
プラテン/ヒータアセンブリ204a〜204fからな
る。管部材126と類似のガス供給管213a〜213
f(図示せず)が、各ヒータ215a〜215fの中に
配管されかつ管202a〜202fに接続されている。
管202a〜202fは、ガス供給管としてかつプラテ
ン/ヒータアセンブリ204a〜204fの支持構造と
して機能する。管202a〜202fは、それらを受容
するように適当に穿孔された支持ブロック206内に嵌
合され、かつ溶接され若しくは適当に固定されている。
電線管208a〜208f(図5に於ては図示せず)の
中を走りかつ雌型のコネクタ210に適当な手法で接続
された導体対を介してヒータ215a〜215fに電力
が供給される。
【0036】一体構造体200は、後述するように回転
機構26(図2)に取外可能に結合されている。軸23
0が回転機構26によって駆動される。軸230は、そ
れを受容するように適当に穿孔された取付ブロック22
4に嵌合され、かつ溶接によりまたは他の適当な手法に
よって取付ブロック224に固定されている。軸230
は中空であり、かつ軸230を受容する前記孔は、支持
ブロック206と気密に接合するように設計された面の
位置まで取付ブロック224の中に形成されている。支
持ブロック206は、ボルトまたは他の適当な手法によ
って取付ブロック224に固定される。
【0037】このようにして、軸230内に導入された
背面ガスは、該背面ガスを管202a〜202fに分配
する支持ブロック206内の孔に送給される。雌型コネ
クタ210は雄型コネクタ220に取り外し可能に結合
され、該雄型コネクタが単一の電線管220の中を走る
導体対から電力を供給されるようになっている。
【0038】以上本発明を上述した特定の実施例及びそ
の変形例に関連して説明したが、これらの実施例及び変
形例は単なる例示であって、本発明がこれらに限定され
るものでないことは明らかである。例えば、上述した様
々な形状及び寸法、並びに様々な流量及び圧力は単なる
例示であり、他の形状、寸法、流量及び圧力を用いて同
様に本発明の目的を達成することができる。更に、上述
したプロセスガス及び背面ガスは単なる例示であり、他
のプロセスガス及び背面ガス並びにガス混合物を用いて
所望の目的を達成することができる。このように、本発
明はその技術的範囲内に於て上述した実施例に様々な変
形・変更を加えて実施することができる。
【図面の簡単な説明】
【図1】化学蒸着装置の反応室を上方から見た部分断面
平面図である。
【図2】図1の反応室を側方から見た部分断面側面図で
ある。
【図3】図1に示すプラテンの一例であるプラテンの上
面図である。
【図4】図4のF.4−F.4線に於ける受台ベース上
に取り付けられたプラテンの断面図である。
【図5】ウエハ運搬・裏面保護構造を示す上面図であ
る。
【図6】図5に示す構造の部分断面側面図である。
【符号の説明】
1 ロードロック室 2 反応室 4a〜4e ウエハ処理ステーション 5 ウエハロード/アンロードステーション 6 中央排気孔 10 ウエハ運搬機構 12b,12c,12d ガス分散ヘッド 14b〜14d プラテン 15 ヒータ 16〜21 アーム 24 排気ポート 26 スピンドル回転機構 28 ガス供給管 30 背面ガス供給システム 100 プラテン 110 ディスク 112 凹み領域 114 側壁 116 床面 118 環状溝 120a〜120h 放射方向孔 122a〜122h 栓 124a〜124h オリフィス 200 一体構造体 202a〜202f 管 204a〜204f プラテン/ヒータアセンブリ 206 支持ブロック 208a〜208f 電線管 210 雌型コネクタ 214a〜214f プラテン 215a〜215f ヒータ 220 雄型コネクタ 222 電線管 224 取付ブロック 230 軸
フロントページの続き (71)出願人 591175103 ナショナル・セミコンダクター・コーポレ イション NATIONAL SEMICONDUC TOR CORPORATION アメリカ合衆国カリフォルニア州95050・ サンタクララ・セミコンダクタードライブ 2900 (72)発明者 マイケル・イー・トーマス アメリカ合衆国カリフォルニア州95035・ ミルピタス・デボンプレイス 2258 (72)発明者 エバーハーダス・ピー・バンデ・バン アメリカ合衆国カリフォルニア州95014・ クーペルティーノ・ ボリンガーロード 7573 (72)発明者 エリオット・ケイ・ブロードベント アメリカ合衆国カリフォルニア州95138・ サンノゼ・ヘリテイジスプリングスコート 3166

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 反応室に於て基板を支持するための装
    置であって、 基板保持手段を有するプラテンを備え、該基板保持手段
    によって前記基板が前記プラテンの表面部分の上方に保
    持され、かつ前記プラテン表面部分に対して処理される
    前記基板の縁部が十分に密封されないようになってお
    り、更に支持された前記基板の表側に対して裏側に正の
    圧力差が生じるようにガスを導入するために前記プラテ
    ン表面部分に設けられたガス分散装置を備えることを特
    徴とする基板支持装置。
  2. 【請求項2】 前記ガスが不活性熱ガスであることを
    特徴とする請求項1に記載の基板支持装置。
  3. 【請求項3】 前記ガスがアルゴンからなることを特
    徴とする請求項2に記載の基板支持装置。
  4. 【請求項4】 前記ガス分散装置が、前記プラテン表
    面部分の周辺部に設けられた環状溝からなることを特徴
    とする請求項1に記載の基板支持装置。
  5. 【請求項5】 前記ガス分散装置と一体的に設けら
    れ、かつ前記プラテンの中を貫通するガス管路を更に備
    えることを特徴とする請求項1に記載の基板支持装置。
  6. 【請求項6】 前記ガス管路が、前記プラテン内に設
    けられた放射方向の孔のネットワークからなり、かつ前
    記ネットワークが、各環状位置に於て前記環状溝を横切
    るようになっていることを特徴とする請求項5に記載の
    基板支持装置。
  7. 【請求項7】 前記ネットワークの前記孔が前記プラ
    テン縁部を始点として前記プラテンの中心に集合してお
    り、前記孔のいずれかが外部のガス供給源に接続される
    ようになっており、かつ他の前記孔がそれぞれその外側
    開口に於て閉塞されていることを特徴とする請求項6に
    記載の基板支持装置。
  8. 【請求項8】 前記プラテン表面部分が前記プラテン
    の表面に設けられた凹所であり、かつ前記基板保持手段
    が前記凹所の側壁であることを特徴とする請求項1に記
    載の基板支持装置。
  9. 【請求項9】 前記ガス分散装置が、前記凹所内の前
    記側壁の近傍に配設された環状溝からなることを請求項
    8に記載の基板支持装置。
  10. 【請求項10】 前記基板保持手段が、前記プラテン
    表面部分の縁部の位置からそれぞれ突出する2個のピン
    からなることを特徴とする請求項1に記載の基板支持装
    置。
  11. 【請求項11】 前記ガス分散装置が、前記プラテン
    表面部分の前記ピンの近傍に配設された環状溝からなる
    ことを特徴とする請求項10に記載の基板支持装置。
  12. 【請求項12】 反応室内の基板を支持するための装
    置であって、 前記反応室内に取付けられたベースと、 前記ベース上に取付けられたプラテンとを備え、該プラ
    テンが、その表面部分の上に前記基板を保持するための
    基板保持手段を有し、該基板保持手段が前記プラテン表
    面部分に対して支持される前記基板の縁部を十分に密封
    し得ないようになっており、 前記プラテン表面部分に設けられ、該プラテン表面部分
    と前記基板保持手段によって保持された状態にある前記
    基板の裏側周辺部とによって郭定される周辺領域の中に
    一様に背面ガスを分散させるための手段と、 前記ガス分散手段と一体的に設けられかつ前記プラテン
    の内部を貫通するガス管と、 前記反応室にプロセスガスを導入する際に前記ガス分散
    手段に加圧された所定量の前記背面ガスを供給するため
    に前記ガス管に接続されたガス供給源とを備え、前記背
    面ガスの量が、前記周辺領域の全体に亘ってかつ前記反
    応室内に向けて放射方向外向きの流れを形成するように
    選択され、かつ該放射方向外向きの流れが前記プロセス
    ガスの前記周辺領域への侵入を妨げるようになっている
    ことを特徴とする基板支持装置。
  13. 【請求項13】 反応室の基板を支持しかつ運搬する
    ための装置であって、 ベースと、 前記ベース上に取付けられたプラテンとを備え、該プラ
    テンが、その表面部分の上に前記基板を保持するための
    基板保持手段を有し、該基板保持手段が前記プラテン表
    面部分に対して支持される前記基板の縁部を十分に密封
    し得ないようになっており、 前記プラテン表面部分より上方の移送領域内に及び前記
    プラテンから離隔された空間内に選択的に移動可能なア
    ームを有するウエハ運搬機構と、 前記プラテン表面部分に設けられたガス分散装置と、 前記ガス分散装置と一体的に設けられかつ前記プラテン
    の中を貫通するガス管とを備え、 前記ガス分散装置が、前記ガス管内へのガスの供給時に
    前記移送領域に於て基板を浮揚させるようになっている
    ことを特徴とする基板支持・運搬装置。
  14. 【請求項14】 前記ガス管に接続されたガス供給源
    を更に備えることを特徴とする請求項12に記載の基板
    支持装置。
  15. 【請求項15】 前記基板運搬機構の前記アームがそ
    の末端に少なくとも1個の歯を有することを特徴とする
    請求項13に記載の基板支持・運搬装置。
  16. 【請求項16】 前記基板運搬機構の前記アームが、
    その末端に基板を支持するための離隔された2個の狭い
    歯を有することを特徴とする請求項13に記載の基板支
    持・運搬装置。
  17. 【請求項17】 前記歯が穿孔されていることを特徴
    とする請求項16に記載の基板支持・運搬装置。
  18. 【請求項18】 反応室内で複数の基板を支持するた
    めの装置であって、 複数のプラテンを備え、該プラテンがそれぞれにその表
    面部分の上に各1個の前記基板を保持するための基板保
    持手段を備え、かつ該基板保持手段が前記プラテン表面
    部分に対して処理される前記基板の縁部を十分に密封し
    得ないようになっており、 支持された前記基板の表側に対して裏側に正の圧力差が
    生じるようにガスを導入するために前記プラテン表面部
    分に設けられたガス分散装置と、 前記ガス分散装置と一体をなしかつ前記プラテンの中を
    貫通するガス管と、 前記プラテンの一体をなす前記ガス管内に導入される前
    にガスを加熱するために、それぞれに関連する各1個の
    前記プラテンに対して設けられた複数のヒータと、 ガスを受け入れるための取付ロックと取外可能に適合
    し、かつ前記取付ブロックの中を回転するようにされた
    支持ブロックと、 それぞれに1個の前記ヒータ及び関連する1個の前記プ
    ラテンを支持し、かつガスを送給するために各前記ヒー
    タに接続された複数の管とを備えることを特徴とする基
    板支持装置。
  19. 【請求項19】 反応室内に支持される基板の裏側へ
    の被着を低減させる方法であって、 プラテンの上に前記基板を受け入れる過程と、 前記反応室内にプロセスガスを導入する過程と、 前記基板の裏側周辺部と前記プラテンとの間の周辺領域
    内に前記プラテンから或る量の背面ガスを一様に分散さ
    せる過程と、 前記プラテンに対して処理される前記基板の縁部を完全
    に密封することなく前記基板を前記プラテン上に保持す
    る過程とからなり、 前記背面ガスの量が、前記周辺領域の全体に亘ってかつ
    前記反応室内に向けて放射方向外向きに前記背面ガスの
    流れが形成され、かつ該流れによって前記プロセスガス
    の前記基板裏側への接触が妨げられるように選択される
    ことを特徴とする基板裏側への被着防止方法。
  20. 【請求項20】 基板を受け入れる前記過程が、 前記プラテンの上方の移送領域内に基板を運ぶ過程と、 前記基板を前記移送領域の上方に浮揚させるのに十分な
    量の前記背面ガスを前記プラテン上に分散させる過程と
    からなることを特徴とする請求項19に記載の基板裏側
    への被着防止方法。
  21. 【請求項21】 前記基板を保持する前記過程が、前
    記プラテンの凹所内に前記基板を配置する過程からな
    り、前記背面ガスの量が、前記基板を前記凹所内に於て
    前記凹所の床面より上方に保持するように選択されるこ
    とを特徴とする請求項19に記載の基板裏側への被着防
    止方法。
JP3201315A 1990-07-16 1991-07-16 基板支持装置、基板支持・運搬装置、及び基板裏側への被着防止方法 Pending JPH06283431A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/554,222 1990-07-16
US07/554,222 US5133284A (en) 1990-07-16 1990-07-16 Gas-based backside protection during substrate processing

Publications (1)

Publication Number Publication Date
JPH06283431A true JPH06283431A (ja) 1994-10-07

Family

ID=24212526

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3201315A Pending JPH06283431A (ja) 1990-07-16 1991-07-16 基板支持装置、基板支持・運搬装置、及び基板裏側への被着防止方法

Country Status (5)

Country Link
US (2) US5133284A (ja)
EP (1) EP0467624B1 (ja)
JP (1) JPH06283431A (ja)
KR (2) KR100217351B1 (ja)
DE (2) DE69127865T2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11150087A (ja) * 1997-07-09 1999-06-02 Lsi Logic Corp 窒化チタン障壁層の形成方法及び窒化チタン障壁層を含む半導体デバイス
JP2009534824A (ja) * 2006-04-21 2009-09-24 アイクストロン、アーゲー プロセスチャンバ内の基板表面温度制御装置及び方法
JP2013520833A (ja) * 2010-02-24 2013-06-06 ビーコ・インストゥルメンツ・インコーポレイテッド 温度分配制御装置を用いる処理方法および処理装置
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
JP2020530527A (ja) * 2017-08-11 2020-10-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱化学気相堆積(cvd)における均一性を改善するための装置及び方法
JP2021501465A (ja) * 2017-10-27 2021-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間分離を伴う単一ウエハの処理環境

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0766919B2 (ja) * 1991-02-20 1995-07-19 株式会社半導体プロセス研究所 半導体製造装置
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
NL9201211A (nl) * 1992-07-07 1994-02-01 Cobrain Nv Inrichting en werkwijze voor het behandelen van een plak halfgeleider-materiaal.
US5387289A (en) * 1992-09-22 1995-02-07 Genus, Inc. Film uniformity by selective pressure gradient control
JPH0810678B2 (ja) * 1992-10-27 1996-01-31 株式会社半導体プロセス研究所 半導体装置の製造装置
JPH0828333B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置
US5384008A (en) * 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
DE69404397T2 (de) * 1993-07-13 1997-11-13 Applied Materials Inc Verbesserte Suszeptor Ausführung
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
JPH10102257A (ja) * 1996-09-27 1998-04-21 Nippon Process Eng Kk 化学的気相成長法による成膜装置
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
AT405655B (de) * 1997-03-26 1999-10-25 Sez Semiconduct Equip Zubehoer Verfahren und vorrichtung zum einseitigen bearbeiten scheibenförmiger gegenstände
JP3077623B2 (ja) * 1997-04-02 2000-08-14 日本電気株式会社 プラズマ化学気相成長装置
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6296712B1 (en) 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6134742A (en) * 1998-03-26 2000-10-24 Advanced Micro Devices, Inc. Apparatus for particle reduction in semiconductor processing equipment
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100505621B1 (ko) * 1998-10-29 2005-09-26 삼성전자주식회사 블랑켓 텅스텐 증착방법
US6672358B2 (en) * 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6254687B1 (en) 1999-03-26 2001-07-03 Japan Process Engineering, Ltd. Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
DE19915078A1 (de) 1999-04-01 2000-10-12 Siemens Ag Verfahren zur Prozessierung einer monokristallinen Halbleiterscheibe und teilweise prozessierte Halbleiterscheibe
KR100316316B1 (ko) * 1999-05-10 2001-12-12 김종규 열 찜질지 및 이의 제조방법
US6191035B1 (en) * 1999-05-17 2001-02-20 Taiwan Semiconductor Manufacturing Company Recipe design to prevent tungsten (W) coating on wafer backside for those wafers with poly Si on wafer backside
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6505417B2 (en) * 1999-12-23 2003-01-14 Lam Research Corporation Method for controlling airflow on a backside of a semiconductor wafer during spin processing
AU2772101A (en) * 2000-01-07 2001-07-24 Multilevel Metals, Inc. Pumping system for reducing the effects of wafer outgasing on beam purity in an ion implanter
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6444027B1 (en) 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US20010035403A1 (en) 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6290491B1 (en) 2000-06-29 2001-09-18 Motorola, Inc. Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber
EP1287188B1 (en) * 2000-12-29 2007-03-14 MEMC Electronic Materials, Inc. Epitaxial silicon wafer free from autodoping and backside halo
JP2002353423A (ja) * 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び処理方法
JP2002353081A (ja) 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び分離方法
US6591850B2 (en) 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
WO2003012839A1 (de) * 2001-07-20 2003-02-13 Infineon Technologies Ag Verfahren zum tempern einer resistschicht auf einem wafer
US20050000449A1 (en) * 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
DE10211312A1 (de) * 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US6576483B1 (en) * 2002-03-19 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Backside cannelure to provide for wafer shift detection
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
DE10319379A1 (de) * 2003-04-30 2004-11-25 Applied Films Gmbh & Co. Kg Vorrichtung zum Transportieren eines flachen Substrats in einer Vakuumkammer
GB0327785D0 (en) * 2003-11-29 2003-12-31 Trikon Technologies Ltd Method of processing a workpiece
JP5189294B2 (ja) * 2004-02-13 2013-04-24 エーエスエム アメリカ インコーポレイテッド オートドーピングおよび裏面堆積を減少させるための基板支持システム
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
WO2009023124A1 (en) * 2007-08-10 2009-02-19 Applied Materials, Inc. Methods and apparatus for ex situ seasoning of electronic device manufacturing process components
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
JP5795461B2 (ja) * 2009-08-19 2015-10-14 株式会社Sumco エピタキシャルシリコンウェーハの製造方法
JP5401230B2 (ja) * 2009-09-17 2014-01-29 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
DE102014205426A1 (de) * 2014-03-24 2015-09-24 Siemens Aktiengesellschaft Gestell zur Halterung von Bauteilen
US9964332B2 (en) * 2014-03-27 2018-05-08 Lam Research Corporation Systems and methods for bulk vaporization of precursor
CN110945159B (zh) 2017-07-28 2022-03-01 东京毅力科创株式会社 用于基板的后侧沉积的系统和方法
KR20210030074A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치
NL2029133B1 (en) * 2021-09-06 2023-03-21 Schunk Xycarb Tech B V Substrate carrier bore hole plug

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05297759A (ja) * 1992-04-22 1993-11-12 Minolta Camera Co Ltd 定着装置
JP2003162175A (ja) * 2001-11-27 2003-06-06 Canon Inc 定着装置
JP2009075443A (ja) * 2007-09-21 2009-04-09 Canon Inc 定着装置及び画像形成装置
JP2010164930A (ja) * 2008-05-30 2010-07-29 Canon Inc 加熱定着装置

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0017472A1 (en) * 1979-04-06 1980-10-15 Lintott Engineering Limited Evacuable equipment containing a device for heat transfer and process for the manufacture of semi-conductor components using this equipment
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4743570A (en) * 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
WO1982001482A1 (en) * 1980-11-06 1982-05-13 Patent Versuch Censor Method and installation for the processing of the upper side of a flat part by means of a liquid
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4508161A (en) * 1982-05-25 1985-04-02 Varian Associates, Inc. Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
US4466872A (en) * 1982-12-23 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for depositing a continuous film of minimum thickness
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4603466A (en) * 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4527620A (en) * 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4535834A (en) * 1984-05-02 1985-08-20 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
IT1213183B (it) * 1984-06-27 1989-12-14 Ates Componenti Elettron Dispositivo per la protezione di una superficie, durante il trattamento chimico dell'opposta superficie, di elementi lenticolari in genere e di fette di silicio in particolare.
US4595608A (en) * 1984-11-09 1986-06-17 Harris Corporation Method for selective deposition of tungsten on silicon
WO1987002877A1 (en) * 1985-11-12 1987-05-21 Minnesota Mining And Manufacturing Company Tissue or mucus sampling device
NL8600059A (nl) * 1986-01-13 1987-08-03 Bok Edward Verbeterde inrichting voor spin processing van wafers.
US4687682A (en) * 1986-05-02 1987-08-18 American Telephone And Telegraph Company, At&T Technologies, Inc. Back sealing of silicon wafers
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
DE3633386A1 (de) * 1986-10-01 1988-04-14 Leybold Ag Verfahren und vorrichtung zum behandeln von substraten im vakuum
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JP2732463B2 (ja) * 1988-01-07 1998-03-30 コニカ株式会社 磁気記録媒体
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
DE3915039A1 (de) * 1989-05-08 1990-11-15 Balzers Hochvakuum Hubtisch
JP2874186B2 (ja) * 1989-05-23 1999-03-24 ソニー株式会社 低温処理装置
US5213650A (en) * 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US4963393A (en) * 1989-09-07 1990-10-16 Cvd Incorporated Method to prevent backside growth on substrates in a vapor deposition system
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH06139520A (ja) * 1992-10-28 1994-05-20 Sanyo Electric Co Ltd 薄膜磁気ヘッドの製造方法
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
JP3066212B2 (ja) * 1993-01-29 2000-07-17 シャープ株式会社 磁気記録再生装置
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05297759A (ja) * 1992-04-22 1993-11-12 Minolta Camera Co Ltd 定着装置
JP2003162175A (ja) * 2001-11-27 2003-06-06 Canon Inc 定着装置
JP2009075443A (ja) * 2007-09-21 2009-04-09 Canon Inc 定着装置及び画像形成装置
JP2010164930A (ja) * 2008-05-30 2010-07-29 Canon Inc 加熱定着装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11150087A (ja) * 1997-07-09 1999-06-02 Lsi Logic Corp 窒化チタン障壁層の形成方法及び窒化チタン障壁層を含む半導体デバイス
JP2010109388A (ja) * 1997-07-09 2010-05-13 Lsi Corp 窒化チタン障壁層の形成方法及び窒化チタン障壁層を含む半導体デバイス
JP2009534824A (ja) * 2006-04-21 2009-09-24 アイクストロン、アーゲー プロセスチャンバ内の基板表面温度制御装置及び方法
JP2013520833A (ja) * 2010-02-24 2013-06-06 ビーコ・インストゥルメンツ・インコーポレイテッド 温度分配制御装置を用いる処理方法および処理装置
US9324590B2 (en) 2010-02-24 2016-04-26 Veeco Instruments Inc. Processing methods and apparatus with temperature distribution control
US10002805B2 (en) 2010-02-24 2018-06-19 Veeco Instruments Inc. Processing methods and apparatus with temperature distribution control
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
JP2020530527A (ja) * 2017-08-11 2020-10-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱化学気相堆積(cvd)における均一性を改善するための装置及び方法
JP2021501465A (ja) * 2017-10-27 2021-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間分離を伴う単一ウエハの処理環境

Also Published As

Publication number Publication date
EP0467624A1 (en) 1992-01-22
DE69127865D1 (de) 1997-11-13
DE467624T1 (de) 1992-09-24
US5133284A (en) 1992-07-28
KR100217351B1 (ko) 1999-09-01
EP0467624B1 (en) 1997-10-08
KR920003410A (ko) 1992-02-29
US5679405A (en) 1997-10-21
KR920003412A (ko) 1992-02-29
KR970008323B1 (ko) 1997-05-23
DE69127865T2 (de) 1998-02-05

Similar Documents

Publication Publication Date Title
JPH06283431A (ja) 基板支持装置、基板支持・運搬装置、及び基板裏側への被着防止方法
JP4422295B2 (ja) Cvd装置
JP2642005B2 (ja) 基板支持装置
US6040011A (en) Substrate support member with a purge gas channel and pumping system
US5882417A (en) Apparatus for preventing deposition on frontside peripheral region and edge of wafer in chemical vapor deposition apparatus
US5370739A (en) Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5925411A (en) Gas-based substrate deposition protection
US5766365A (en) Removable ring for controlling edge deposition in substrate processing apparatus
US5578532A (en) Wafer surface protection in a gas deposition process
US5273588A (en) Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5374594A (en) Gas-based backside protection during substrate processing
US6464790B1 (en) Substrate support member
US5383971A (en) Differential pressure CVD chuck
US6464795B1 (en) Substrate support member for a processing chamber
JP3590416B2 (ja) 薄膜形成方法および薄膜形成装置
WO1993026038A1 (en) Semiconductor wafer processing method and apparatus with heat and gas flow control
JP4317608B2 (ja) 成膜装置
JP2975885B2 (ja) ガス分散器及びプラズマ処理装置
JP2603909B2 (ja) Cvd装置、マルチチャンバ方式cvd装置及びその基板処理方法
JP3024940B2 (ja) 基板処理方法及びcvd処理方法
WO2000006795A1 (en) Cvd tungsten deposition on oxide substrates
JPS63179515A (ja) 化学蒸着装置
JPH0925577A (ja) タングステンシリサイドの成膜方法
JPH09162259A (ja) 半導体装置の製造装置
JPH0347968A (ja) 成膜処理装置及び方法