JPH04167429A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JPH04167429A
JPH04167429A JP2294423A JP29442390A JPH04167429A JP H04167429 A JPH04167429 A JP H04167429A JP 2294423 A JP2294423 A JP 2294423A JP 29442390 A JP29442390 A JP 29442390A JP H04167429 A JPH04167429 A JP H04167429A
Authority
JP
Japan
Prior art keywords
oxide film
silicon oxide
film
semiconductor substrate
teo8
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2294423A
Other languages
English (en)
Other versions
JP2640174B2 (ja
Inventor
Masazumi Matsuura
正純 松浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP2294423A priority Critical patent/JP2640174B2/ja
Priority to KR1019910018207A priority patent/KR920008851A/ko
Priority to US07/781,342 priority patent/US5319247A/en
Priority to DE4143592A priority patent/DE4143592C2/de
Priority to DE4135810A priority patent/DE4135810C2/de
Publication of JPH04167429A publication Critical patent/JPH04167429A/ja
Priority to US08/223,192 priority patent/US5459105A/en
Priority to US08/538,324 priority patent/US5721156A/en
Application granted granted Critical
Publication of JP2640174B2 publication Critical patent/JP2640174B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Local Oxidation Of Silicon (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野コ この発明は、一般に半導体装置に関するものであり、よ
り特定的には、層間絶縁膜の耐クラック性および絶縁性
を高めた、半導体装置に関するものである。この発明は
、さらに、そのような半導体装置を製造する方法に関す
る。
[従来の技術] 最近の大規模集積回路デバイス(以下、LSIデバイス
という)の製造分野においては、4MビットDRAMの
量産が本格的に行なわれている。
LSIデバイスの微細化は、配線幅、配線間隔の微細化
を招来し、ひいては基板の表面段差の増大を結果とする
。この課題を解決する方法として、層間絶縁膜の平坦化
技術が種々提案されている。
しかし、決定的な良い効果の期待できる有効なプロセス
技術は見いだされていないのが現状である。
第6A図〜第6C図は、層間絶縁膜の平坦化技術の従来
のプロセスを断面図で示したものである(Semico
n  News  1989年6月号)第6A図を参照
して、段差である凹凸パターン2を有する半導体基板1
が準備される。凹凸パターン2の表面を被覆するように
、プラズマ化学気相蒸着法(以下、プラズマCVD法と
いう)により、シリコン酸化膜3(以下、プラズマ酸化
膜3という)を形成する。プラズマ酸化膜3の膜厚は、
0.1〜063μm程度である。プラズマ酸化膜3は、
シラン(SiH4)/亜酸化窒素(N20)、またはテ
トラエチルオキシシラン(TEOS)/酸素(02)を
原料ガスに用いて、形成される。
このプラズマ酸化膜3は、絶縁性、耐クラック性に優れ
るが、一方、ステップカバレッジ性、埋め込み特性に劣
る。
第6B図を参照シテ、TEOS/、tゾ:/(03)を
用いる常圧CVD法により、凹凸パターン2の凹部を埋
めかつ、該凹凸パターン2を覆うように、シリコン酸化
膜4(以下、常圧TEO8酸化膜4という)を堆積する
。凹凸パターン2の凸部2aにおける、常圧TEO8酸
化膜4の膜厚(t2)は、0.6〜0.8μm程度であ
る。プラズマ酸化膜3と常圧TEO3酸化膜4とを合わ
せると、膜厚は約0.9μmになる。
第6C図を参照して、常圧TEO3酸化膜4の表面に存
在する凹部4aを埋めるように、かつ常圧TEO8酸化
膜4を覆うように、常圧TEO3酸化膜4の上にスピン
オングラス膜5(以下、SOG膜5という)を塗布し、
これを焼成する。その後、SOG膜5が、常圧TEO8
酸化膜4の凹部4aにのみ残るように、このSOG膜5
をエツチングする。こうして、三層構造の層間絶縁膜2
4が半導体基板1の上に形成される。
従来の層間絶縁膜の製造工程は、以上のように構成され
ている。さて、上述の方法で形成されるプラズマ酸化膜
3は、常圧TEO8酸化膜4と比べて、絶縁性および耐
クラック性に優れている。
また、このプラズマ酸化膜3は熱処理により、膜質の変
化を起こしにくい。そのため、このプラズマ酸化膜3を
常圧TEO3酸化膜4の下敷き膜にすることによって、
凹凸パターン2(下地段差であるアルミニウム配線)と
常圧TEO8酸化膜4との間に膨脹率の差があっても、
膨脹率の差に起因する常圧TEO8酸化膜4のクラック
の発生は防止される。
常圧TEO8酸化膜4は、ステップカバレッジ性、埋め
込み特性に優れている。それゆえに、この常圧TEO8
酸化膜4は、プラズマ酸化膜3では、ボイドを発生させ
てしまうような、微小な溝にも、完全に埋めこまれる。
プラズマ酸化膜3、常圧TEO8酸化膜4は、それぞれ
単独では、層間絶縁膜として不適である。
しかし、両者を積み重ねると、これらの長所が足し合わ
されて、優れた層間絶縁膜となる。
上記従来例では、第6CIIを参照して、幅の広い溝2
aの所では、層間絶縁膜の平坦性が不足するため、さら
に、常圧TEO8酸化膜4の凹部4aにSOG膜5を埋
め込んでいる。SOG膜5を凹部4aに埋め込むことに
よって、層間絶縁膜の表面の平坦性は良好なものとなる
[発明が解決しようとする課題] 従来の層間絶縁膜は以上のように構成されているが、第
6C図を参照して、最後に形成されるSOGOsO4成
過程において、あるいは、さらに後に行なわれる熱処理
工程において、SOGOsO4圧TEO3酸化膜4との
収縮率の違いにより、SOGOsO4いは常圧TEO8
酸化膜4にクラックが発生するという問題点があった。
この発明は、上記問題点を解決するためになされたもの
で、層間絶縁膜の耐クラック性および絶縁性を高めた半
導体装置およびその製造方法を提供することを目的とす
る。
[課題を解決するための手段] この発明にかかる半導体装置は、半導体基板と、上記半
導体基板の上に形成された凹凸パターンと、を備える。
上記凹凸パターンの表面を被覆するように、上記半導体
基板の上に、耐クラック性にすぐれる第1のシリコン酸
化膜が形成されている。
上記第1のシリコン酸化膜の表面に存在する凹部を埋め
、かつ上記凹凸パターンを覆うように、上記第1のシリ
コン酸化膜の上に、ステップカバレッジ性にすぐれる第
2のシリコン酸化膜が堆積されている。上記第2のシリ
コン酸化膜の表面を平坦化するために、上記第2のシリ
コン酸化膜の表面に存在する凹部に、埋め込み特性にす
ぐれる第3のシリコン酸化膜が埋め込まれている。上記
第2のシリコン酸化膜および上記第3のシリコン酸化膜
を含む上記半導体基板の上に、第4のシリコン酸化膜が
形成されている。
この発明に従う半導体装置の好ましい実施態様によれば
、上記第1のシリコン酸化膜は、プラズマCVD法によ
り形成される。上記第2のシリコン酸化膜は、オゾンと
、アルコキシド基を有する有機シランとを用いる、常圧
CVD法により形成される。上記第3のシリコン酸化膜
は、スピンオングラス膜を塗布することによって形成さ
れる。
この発明の他の局面に従う半導体装置の製造方法におい
ては、まず、半導体基板の上に凹凸パターンが形成され
る。上記凹凸パターンの表面を被覆するように、上記半
導体基板の上に耐クラック性にすぐれる第1のシリコン
酸化膜が形成される。
上記凹凸パターンの凹部を埋め、かつ該凹凸パターンを
覆うように、上記第1のシリコン酸化膜の上に、ステッ
プカバレッジ性にすぐれる第2のシリコン酸化膜が堆積
される。その後、上記第2のシリコン酸化膜を所定の膜
厚になるまでエツチングする。エツチング後の上記第2
のシリコン酸化膜の表面に存在する凹部に、埋め込み特
性にすぐれる第3のシリコン酸化膜を埋め込む。上記第
2のシリコン酸化膜および上記第3のシリコン酸化膜を
含む上記半導体基板の上に、第4のシリコン酸化膜が形
成される。
この発明の他の局面に従う半導体装置の製造方法の好ま
しい実施態様によれば、上記第1のシリコン酸化膜の形
成は、プラズマCVD法により行なわれる。上記第2の
シリコン酸化膜の形成は、オゾンと、アルコキシド基を
有する有機シランとを用いる、常圧CVD法により行な
われる。上記第3のシリコン酸化膜の形成は、スピンオ
ングラス膜を上記半導体基板の上に塗布することによっ
て行なわれる。
[作用] この発明にかかる半導体装置によれば、層間絶縁膜か、
凹凸パターンの表面を覆うように設けられた耐クラック
性に優れる第1のシリコン酸化膜と、上記第1のシリコ
ン酸化膜の上に設けられた、ステップカバレッジ性に優
れる第2のシリコン酸化膜と、を含んでいる。さらに、
上記第2のシリコン酸化膜の表面に存在する凹部に、埋
め込み特性に優れる第3のシリコン酸化膜が埋め込まれ
ている。第2のシリコン酸化膜および第3のシリコン酸
化膜を覆うように、第4のシリコン酸化膜が設けられて
いる。層間絶縁膜が、上述したような異なった特性を有
するシリコン酸化膜が積層された積層構造になっている
ので、それぞれのシリコン酸化膜の有する長所が足し合
わされる。その結果、この層間絶縁膜の表面は平坦とな
り、かつ、この層間絶縁膜は耐クラック性に優れる。
この発明の他の局面に従う、半導体装置の製造方法によ
れば、まず耐クラック性に優れる第1のシリコン酸化膜
で凹凸パターンの表面を覆う。その後、凹凸パターンの
凹部を埋め、かつ該凹凸、aターンを覆うように、上記
第1のシリコン酸化膜の上に、ステップカバレッジ性に
優れる第2のシリコン酸化膜を堆積する。
その後、この第2のシリコン酸化膜を所定の膜厚になる
までエツチングする。上記第2のシリコン酸化膜はステ
ップカバレッジ性に優れるという長所を有するが、一方
において耐クラック性に劣るという欠点をも有する。し
かし、このエツチング処理により、第2のシリコン酸化
膜の膜厚を薄くすることによって、この欠点を極力抑え
ることができる。
その後、第2のシリコン酸化膜の表面に存在する凹部に
、埋め込み特性に優れる第3のシリコン酸化膜を埋め込
む。これにより、得られた積層膜の表面は平坦化される
その後、平坦化された、この積層膜の表面に第4のシリ
コン酸化膜が形成される。
以上のようにして、層間絶縁膜が形成されるので、この
層間絶縁膜の表面は平坦となり、かつこの層間絶縁膜は
耐クラック性に優れる。
[実施例] 以下、この発明の実施例を図について説明する。
第1図は、本発明にかかる半導体装置の断面図である。
半導体基板11(シリコン半導体基板)の上に、トラン
ジスタ20が形成されている。トランジスタ20を覆う
ように絶縁膜12が設けられている。
絶縁膜12中には、ビット線21が配置されている。絶
縁膜12には、半導体基板11の接合部22を露出させ
るためのコンタクトホール12aが設けられている。層
間絶縁膜12の上には、凹凸パターン13である第1の
At配線が形成されている。凹凸パターン13の一部は
、半導体基板11の接合部22に接続されるように、コ
ンタクトホール12a内に埋め込まれている。第1のA
t配線はビット線とビット線を接続するためのものであ
る。
凹凸パターン13の表面を被覆するように、半導体基板
11の上に、耐クラック性に優れる第1のシリコン酸化
膜15(以下、プラズマ酸化膜15という)が形成され
ている。プラズマ酸化膜15は、後述するように、S 
i H4/N20またはTEO8102を原料ガスに用
いる、プラズマCVD法により形成される。プラズマ酸
化膜15の膜厚は、0.1〜0.2μm程度である。プ
ラズマCVD法により形成されるシリコン酸化膜は、5
iOH結合が非常に少なく、かつ絶縁性、耐クラック性
に優れる。
プラズマ酸化膜15の表面に存在する凹部15aを埋め
、かつ凹凸パターン13を覆うように、プラズマ酸化膜
15の上に、ステップカバレッジ性に優れる第2のシリ
コン酸化膜16(以下、常圧TEO3酸化膜16という
)が設けられている。
常圧TEO3酸化膜16は、後述するように、TE01
とオゾンを用いる、常圧CVD法により形成される。凹
凸パターン13の凸部における、常圧TEO8酸化膜1
6の膜厚(t2)は、0.5μm以下、好ましくは0.
2μm以下にされる。
常圧CVD法により形成されるシリコン酸化膜は、プラ
ズマ酸化膜15よりも5iOH結合をより多く有してお
り、かつ、後述するように、ステップカバレッジ性およ
び埋め込み特性に優れる。しかし一方で、このシリコン
酸化膜は、絶縁性、耐クラック性に劣るという欠点を有
している。しかし、膜厚t2が0.5μm以下、好まし
くは0.2μm以下にされているので、耐クラック性に
劣るという欠点はなくなる。
常圧TEO8酸化膜16の表面を平坦化するために、常
圧TEO3酸化膜16の表面に存在する凹部16aに、
埋め込み特性に優れる第3のシリコン酸化膜17(以下
、SOG膜1膜上7う)が設けられている。SOG膜1
膜上7常圧TEO8酸化膜16よりも、より多く S 
i OH結合を有しており、かつ埋め込み特性に優れる
SOG膜1膜上7び常圧TEO8酸化膜16の表面を覆
うように、第4のシリコン酸化膜であるプラズマ酸化膜
18が形成されている。第4のシリコン酸化膜は、プラ
ズマCVD法により形成されるのが好ましいが、TEO
8103ガスを用いる、常圧CVD法によって形成して
もよい。
層間絶縁膜24が、上述したような、異なった特性を有
するシリコン酸化膜(15,16,17゜18)が積層
された積層構造になっているので、それぞれのシリコン
酸化膜の有する長所が足し合わされる。その結果、この
眉間絶縁膜24の表面は平坦となり、かつ、この層間絶
縁膜24は耐クラック性および絶縁性に優れる。
層間絶縁膜24の上に、第2のAt配線25が形成され
ている。第2AI配線25は、凹凸パターン13である
第1のAt配線に接続されるものである。
第2A図〜第2F図は、第1図に示す半導体装置の製造
工程を断面図で示したものである。
第2A図を参照して、素子(図示せず)が形成された半
導体基板11の上に、絶縁膜12を形成する。絶縁膜1
2に、半導体基板11の接合部22を露出させるための
コンタクトホール12aを形成する。その後、スパッタ
により、半導体基板11の表面全面に、アルミニウム膜
を堆積させる。
このアルミニウム膜を所定の形状にパターニングするこ
とによって、アルミニウム配線の段差である凹凸パター
ン13を形成する。凹凸パターン13の表面を被覆する
ように、プラズマ酸化膜15を形成する。プラズマ酸化
膜15は、SiH4/N2oまたはTEO8102を原
料ガスに用い、温度300〜400℃、圧力0.1〜1
0Torrの条件下で、膜厚が0.1〜0.2μmにな
るように堆積される。
第2B図を参照して、半導体基板11の表面全面に、T
E01とオゾンを用い、温度350〜450℃、常圧(
760Torr以上)または準常圧(700〜760T
o r r)の条件下で行なわれるCVD法により、常
圧TEO8酸化膜16を形成する。03/TEO8の比
は、6以上で行なわれるのが好ましい。常圧TEO8酸
化膜16は、凹凸パターン13の凸部において、その膜
厚(t3)が1.0〜1.5μmになるまで、堆積され
る。
常圧TEO8酸化膜16が、ステップカバレッジ性およ
び埋め込み特性に優れる理由について説明する。
第3図(8、TEO8103を用いる常圧CVD法によ
り、常圧TEO8酸化膜が形成される様子を模式的に示
した図である。TE01と03の反応においては、まず
、オゾンが熱分解し、酸素ラジカルを発生させる。この
酸素ラジカルとTE01との重合反応が、気相で起こる
。重合反応により生成した中間体は、TE01がn個結
合した、低分子量のTEO3n量体と考えられる。気相
中で生成したTEO3n量体および酸素ラジカルは、凹
凸パターン13を有する半導体基板11の表面まで輸送
され、その表面で、さらに重合反応が起こり、その結果
、膜が形成される。TEOSn量体は、液体に似た性格
を有しており、流れるように、凹部に集まる。また、そ
の表面はなだらかな形状となる。これが、ステップカバ
レッジ性、埋め込み特性に優れる理由である。
第2C図を参照して、常圧TEO8酸化膜16を、その
膜厚(t2)が凹凸パターン13の凸部において、0.
2μm以下になるまで、エツチングする。
第2D図を参照して、エツチングされた常圧TEO8酸
化膜16の表面に存在する凹部16aを埋めるように、
常圧TEO3酸化膜16の上にSOG膜17を塗布し、
これを焼成する。
第2D図および第2E図を参照して、SOG膜17を、
該SOG膜17が凹部16aにのみ残るように、エツチ
ングする。
第2F図を参照して、常圧TEO3酸化膜16およびS
OG膜17を含む半導体基板11の上に、プラズマ酸化
膜18を形成する。プラズマ酸化膜18の形成は、第2
A図において、プラズマ酸化膜15を形成した条件と、
同じ条件で行なわれる。
プラズマ酸化膜18は、層間絶縁膜24の総膜厚が約0
.9μmになるように、堆積される。層間絶縁膜24の
上に第2AI配線を形成すると、第1図に示す半導体装
置が得られる。
第2A図および第2B図を参照して、凹凸パタ−ン13
の表面を被覆するようにプラズマ酸化膜15を形成する
ことにより、凹凸パターン13であるアルミニウム配線
の膨張が抑えられる。これにより、常圧TEO8酸化膜
16のクラックが防止される。
第2B図および第2C図を参照して、常圧TEO8酸化
膜16は後にエッチバックするため、この常圧TEOS
酸化膜16は1、O〜1. 5Bm(従来は、0.6〜
0.8μm程度であった)と、従来よりも厚く堆積する
ことができる。それゆえに、常圧TEOS酸化膜16の
表面は、従来よりも、よりいっそう平坦となる。
第2D図および第2E図を参照して、SOG膜17を形
成するとき(焼成するとき)、常圧TEO8酸化膜16
の膜厚は0.2μm以下になっているので、常圧TEO
8酸化膜16にクラックが発生するということはない。
以上のように、本実施例によれば、層間絶縁膜の絶縁性
および耐クラック性が、従来に比べて、大幅に改善され
る。また、常圧TEO8酸化膜の膜厚を、従来より厚く
形成することができるので、層間絶縁膜の平坦性がいっ
そう向上する。
なお、上記実施例では有機シランとしてTE01を用い
る場合を例示したが、この発明は、これに限られるもの
ではなく、テトラエチルオルトシリケイト(7MO3)
 、テトラプロピルオルトシリケイト(TPO8)であ
っても同様の効果を奏する。また、上記実施例では有機
シラン単独を用いる場合を例示したが、この発明はこれ
に限られるものではなく、トリメチルボレート(TMB
)、トリエチルボレート(TEB)、トリn−プロピル
ボレート(TnPB) 、トリメチルホスフェ−) (
TMPO)、トリメチルホスファイト(TMP)を加え
てもよい。
また、上記実施例では、第4のシリコン酸化膜として、
プラズマ酸化膜を形成する場合を例示したが、この発明
はこれに限られるものではなく、常圧TEO3酸化膜、
S i Ha / P H3/ 02を原料ガスとする
、常圧CVD法または減圧CVD法により形成したリン
ガラス膜であってもよい。
また、上記実施例では、第2シリコン膜が常圧CVD法
で形成した常圧TEO8酸化膜である場合について述べ
たが、減圧CVD法で形成することも可能である。しか
し、常圧CVD法で作ったTEO8酸化膜は、減圧CV
D法で作ったものに比べて、種々の点で優れている。以
下、両者を比較検討した結果を説明する。
第4A図は、減圧(100To r r)CVD法で作
ったTEO3酸化膜の化学構造を示す図であり、第4B
図は常圧CVD法で作ったTEOS酸化膜の化学構造を
示す図である。図より明らかなように、常圧TEO8酸
化膜は、5iOH結合が減圧TEO3酸化膜に比べて少
ない。それゆえに、常圧TEO3酸化膜は、減圧TEO
3酸化膜よりも、分子量がより大きい。したがって、常
圧CVDの方が、減圧CVD法よりも、より液体に近い
ポリマが得られる。その結果、第3図を参照して、常圧
CVDの方が、減圧CVD法よりも、よりステップカバ
レッジ性に優れる。
また、常圧CVD−TEO3酸化膜とM圧CVD−TE
O8酸化膜との膜収縮の程度を調べてみた。両者を、4
50℃、窒素雰囲気下で、30分間アニールした結果、
減圧CVD−TEO8酸化膜は、20%の膜収縮率を示
し、常圧CVD−TEO8酸化膜は1%の膜収縮率を示
した。
次に、両者の耐クラック性を比較した。その結果を、表
1に示す。
(以下余白) 表    1 0・・・クラックなし ×・・・クラック発生 表1から明らかなように、常圧CVD−TEO8酸化膜
の方が、減圧CVD−TEO8酸化膜よりも耐クラック
性に優れていた。なお、減圧CVD法では、膜厚が1.
5μm以上のTEO3酸化膜を形成することができなか
った。
第5図は、それぞれの膜の、リーク電流を調べた結果を
図示した図である。
曲線(1)i!常圧cVD−TEO8酸化膜の場合であ
り、曲線(2)は減圧CVD−TEO3酸化膜の場合で
ある。常圧CVD−TEO3酸化膜の方か、減圧CVD
−TEO8酸化膜よりも、より低いリーク電流を示して
いた。
[発明の効果] 以上説明したとおり、この発明にかかる半導体装置によ
れば層間絶縁膜が、異なった特性(平坦性と耐クラック
性)を有するシリコン酸化膜が積層された積層構造にな
っているので、それぞれのシリコン酸化膜の有する長所
が足し合わされる。
その結果、この層間絶縁膜の表面は平坦となり、かつ、
この層間絶縁膜は耐クラック性に優れる。
また、この発明にかかる半導体装置の製造方法によれば
、耐クラック性に優れ、かつ、その表面が平坦な層間絶
縁膜が得られるので、信頼性の高い半導体装置が得られ
るという効果を奏する。
【図面の簡単な説明】
第1図は、この発明の一実施例にかかる半導体装置の断
面図である。 第2A図〜第2F図は、第1図に示す半導体装置の製造
工程を断面図で示したものである。 第3図は、T E OS / 03を用いる常圧CVD
法により、シリコン酸化膜が形成される様子を模式的に
示した図である。 第4A図は、減圧CVD法により形成したTEO8酸化
膜の化学構造を示す図であり、第4B図は常圧CVD法
により形成されたTEO8酸化膜の化学構造を示す図で
ある。 第5図は、常圧CVD−TEO3酸化膜と減圧CVD−
TEO8酸化膜のリーク電流を比較したグラフである。 第6A図〜第6C図は、層間絶縁膜の従来の製造工程を
示した断面図である。 図において、11は半導体基板、13は凹凸パターン、
15はプラズマ酸化膜、16は常圧TEOS酸化膜、1
7はSOG膜、18はプラズマ酸化膜である。 なお、各図中、同一符号は同一または相当部分を示す。 特許出願人  三菱電機株式会社     、、、7−
、、、。 第1図 第3図 EO5 第4八図 1          l         1   
      l        +第48図 第5図 Of     234    56 BREAKDOWN  VOLTAKE  (Mv/c
n )第6A図 第6B図 86C図 平成3年10月14日

Claims (2)

    【特許請求の範囲】
  1. (1)半導体基板と、 前記半導体基板の上に形成された凹凸パターンと、 前記凹凸パターンの表面を被覆するように、前記半導体
    基板の上に形成された耐クラック性にすぐれる第1のシ
    リコン酸化膜と、 前記第1のシリコン酸化膜の表面に存在する凹部を埋め
    、かつ前記凹凸パターンを覆うように、前記第1のシリ
    コン酸化膜の上に堆積されたステップカバレッジ性にす
    ぐれる第2のシリコン酸化膜と、 前記第2のシリコン酸化膜の表面を平坦化するために、
    前記第2のシリコン酸化膜の表面に存在する凹部に埋め
    こまれた埋め込み特性にすぐれる第3のシリコン酸化膜
    と、 前記第2のシリコン酸化膜および前記第3のシリコン酸
    化膜を含む前記半導体基板の上に形成された第4のシリ
    コン酸化膜と、を備えた半導体装置。
  2. (2)半導体基板の上に凹凸パターンを形成する工程と
    、 前記凹凸パターンの表面を被覆するように、前記半導体
    基板の上に耐クラック性にすぐれる第1のシリコン酸化
    膜を形成する工程と、 前記凹凸パターンの凹部を埋め、かつ該凹凸パターンを
    覆うように、前記第1のシリコン酸化膜の上にステップ
    カバレッジ性にすぐれる第2のシリコン酸化膜を堆積す
    る工程と、前記第2のシリコン酸化膜を所定の膜厚にな
    るまでエッチングする工程と、 エッチング後の前記第2のシリコン酸化膜の表面に存在
    する凹部に、埋め込み特性にすぐれる第3のシリコン酸
    化膜を埋め込む工程と、 前記第2のシリコン酸化膜および前記第3のシリコン酸
    化膜を含む前記半導体基板の上に第4のシリコン酸化膜
    を形成する工程と、 を備えた、半導体装置の製造方法。
JP2294423A 1990-10-30 1990-10-30 半導体装置およびその製造方法 Expired - Fee Related JP2640174B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2294423A JP2640174B2 (ja) 1990-10-30 1990-10-30 半導体装置およびその製造方法
KR1019910018207A KR920008851A (ko) 1990-10-30 1991-10-16 반도체장치 및 그 제조방법
US07/781,342 US5319247A (en) 1990-10-30 1991-10-25 Semiconductor device having an interlayer insulating film of high crack resistance
DE4135810A DE4135810C2 (de) 1990-10-30 1991-10-30 Halbleitereinrichtung mit einem Zwischenschichtisolierfilm und Verfahren zu deren Herstellung
DE4143592A DE4143592C2 (de) 1990-10-30 1991-10-30 Verfahren zur Herstellung einer Halbleitereinrichtung mit einem Zwischenschichtisolierfilm
US08/223,192 US5459105A (en) 1990-10-30 1994-04-05 Method of manufacturing a semiconductor device having multilayer insulating films
US08/538,324 US5721156A (en) 1990-10-30 1995-10-03 Method of manufacturing a semiconductor device with a planarized integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2294423A JP2640174B2 (ja) 1990-10-30 1990-10-30 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
JPH04167429A true JPH04167429A (ja) 1992-06-15
JP2640174B2 JP2640174B2 (ja) 1997-08-13

Family

ID=17807571

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2294423A Expired - Fee Related JP2640174B2 (ja) 1990-10-30 1990-10-30 半導体装置およびその製造方法

Country Status (3)

Country Link
US (3) US5319247A (ja)
JP (1) JP2640174B2 (ja)
KR (1) KR920008851A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06216348A (ja) * 1992-12-10 1994-08-05 Samsung Electron Co Ltd マスクrom製造方法
US5569618A (en) * 1992-03-03 1996-10-29 Nec Corporation Method for planarizing insulating film
KR100432785B1 (ko) * 2001-12-20 2004-05-24 주식회사 하이닉스반도체 반도체 소자의 제조 방법
CN110634835A (zh) * 2018-06-21 2019-12-31 三菱电机株式会社 半导体装置及其制造方法

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6146135A (en) * 1991-08-19 2000-11-14 Tadahiro Ohmi Oxide film forming method
JP3093429B2 (ja) * 1992-04-28 2000-10-03 日本電気株式会社 半導体装置の製造方法
FR2692598B1 (fr) * 1992-06-17 1995-02-10 Air Liquide Procédé de dépôt d'un film contenant du silicium à la surface d'un substrat métallique et procédé de traitement anti-corrosion.
JP3158749B2 (ja) * 1992-12-16 2001-04-23 ヤマハ株式会社 半導体装置
US5605857A (en) * 1993-02-12 1997-02-25 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
JPH0766287A (ja) * 1993-08-23 1995-03-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2836474B2 (ja) * 1993-12-15 1998-12-14 日本電気株式会社 磁気抵抗素子とその製造方法
US5395785A (en) * 1993-12-17 1995-03-07 Sgs-Thomson Microelectronics, Inc. SRAM cell fabrication with interlevel dielectric planarization
JPH088209A (ja) * 1994-01-10 1996-01-12 Cypress Semiconductor Corp 半導体装置の製造のための除去されるポストの処理方法
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
JPH0855968A (ja) * 1994-08-10 1996-02-27 Hitachi Ltd 半導体集積回路装置の製造方法
JPH0878406A (ja) * 1994-09-08 1996-03-22 Sony Corp 酸化膜の成膜方法
US5530293A (en) * 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5652084A (en) * 1994-12-22 1997-07-29 Cypress Semiconductor Corporation Method for reduced pitch lithography
US5861345A (en) * 1995-05-01 1999-01-19 Chou; Chin-Hao In-situ pre-PECVD oxide deposition process for treating SOG
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5563104A (en) * 1995-06-23 1996-10-08 Taiwan Semiconductor Manufacturing Company Ltd. Reduction of pattern sensitivity in ozone-teos deposition via a two-step (low and high temperature) process
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5747381A (en) * 1996-02-12 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Technique for the removal of residual spin-on-glass (SOG) after full SOG etchback
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6143647A (en) * 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
US5597764A (en) * 1996-07-15 1997-01-28 Vanguard International Semiconductor Corporation Method of contact formation and planarization for semiconductor processes
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US6599847B1 (en) 1996-08-27 2003-07-29 Taiwan Semiconductor Manufacturing Company Sandwich composite dielectric layer yielding improved integrated circuit device reliability
US5883002A (en) * 1996-08-29 1999-03-16 Winbond Electronics Corp. Method of forming contact profile by improving TEOS/BPSG selectivity for manufacturing a semiconductor device
TW408192B (en) * 1996-10-02 2000-10-11 Winbond Electronics Corp Method for forming a film over a spin-on-glass layer by means of plasma-enhanced chemical-vapor deposition
US5731235A (en) * 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5888897A (en) * 1996-10-31 1999-03-30 Intel Corporation Process for forming an integrated structure comprising a self-aligned via/contact and interconnect
US6149974A (en) 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
JP3660799B2 (ja) * 1997-09-08 2005-06-15 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US5920791A (en) * 1997-11-06 1999-07-06 Vanguard International Semiconductor Corporation Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
JPH11274295A (ja) * 1998-03-18 1999-10-08 Sony Corp 半導体装置の製造方法
EP0948035A1 (en) * 1998-03-19 1999-10-06 Applied Materials, Inc. Method for applying a dielectric cap film to a dielectric stack
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
JP3229276B2 (ja) * 1998-12-04 2001-11-19 キヤノン販売株式会社 成膜方法及び半導体装置の製造方法
EP0954017A3 (en) * 1998-04-16 2000-08-09 STMicroelectronics, Inc. A semiconductor structure having an improved pre-metal dielectric stack
US6239034B1 (en) 1998-11-02 2001-05-29 Vanguard International Semiconductor Corporation Method of manufacturing inter-metal dielectric layers for semiconductor devices
JP2000286262A (ja) * 1999-03-30 2000-10-13 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6306771B1 (en) 1999-08-27 2001-10-23 Integrated Device Technology, Inc. Process for preventing the formation of ring defects
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6833329B1 (en) 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6686298B1 (en) 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6660657B1 (en) 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
KR100450428B1 (ko) * 2000-11-10 2004-10-01 정헌영 두부제조용 대두건조분말 및 그 제조방법
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US6878585B2 (en) 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6723599B2 (en) 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7145182B2 (en) * 2003-09-12 2006-12-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Integrated emitter devices having beam divergence reducing encapsulation layer
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080299780A1 (en) * 2007-06-01 2008-12-04 Uv Tech Systems, Inc. Method and apparatus for laser oxidation and reduction
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101036980B1 (ko) * 2008-09-12 2011-05-25 주식회사 동성식품 탈피대두분을 이용한 고품질 면 제조방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60132344A (ja) * 1983-12-20 1985-07-15 Nec Corp 半導体装置
JPS6365646A (ja) * 1986-09-05 1988-03-24 Nec Corp 半導体装置
JPS6445148A (en) * 1987-08-13 1989-02-17 Fuji Xerox Co Ltd Semiconductor device and manufacture thereof
JPH01185945A (ja) * 1988-01-21 1989-07-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4654269A (en) * 1985-06-21 1987-03-31 Fairchild Camera & Instrument Corp. Stress relieved intermediate insulating layer for multilayer metalization
US4972251A (en) * 1985-08-14 1990-11-20 Fairchild Camera And Instrument Corp. Multilayer glass passivation structure and method for forming the same
US4775550A (en) * 1986-06-03 1988-10-04 Intel Corporation Surface planarization method for VLSI technology
US4872047A (en) * 1986-11-07 1989-10-03 Olin Corporation Semiconductor die attach system
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JPS6418594A (en) * 1987-07-13 1989-01-23 Nec Corp Focus controller for laser beam machine
JPH0688933B2 (ja) * 1987-07-17 1994-11-09 住友化学工業株式会社 光学活性第一菊酸類のラセミ化法
GB2211348A (en) * 1987-10-16 1989-06-28 Philips Nv A method of forming an interconnection between conductive levels
JPH063804B2 (ja) * 1988-01-21 1994-01-12 シャープ株式会社 半導体装置製造方法
US4894351A (en) * 1988-02-16 1990-01-16 Sprague Electric Company Method for making a silicon IC with planar double layer metal conductors system
JP2602534B2 (ja) * 1988-08-24 1997-04-23 ヤマハ発動機株式会社 自動給餌装置
US5089863A (en) * 1988-09-08 1992-02-18 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with T-shaped gate electrode
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
KR910008980B1 (ko) * 1988-12-20 1991-10-26 현대전자산업 주식회사 자외선을 이용한 s.o.g 박막 경화 방법
US5057897A (en) * 1990-03-05 1991-10-15 Vlsi Technology, Inc. Charge neutralization using silicon-enriched oxide layer
US5514624A (en) * 1990-08-07 1996-05-07 Seiko Epson Corporation Method of manufacturing a microelectronic interlayer dielectric structure
US5285102A (en) * 1991-07-25 1994-02-08 Texas Instruments Incorporated Method of forming a planarized insulation layer
US5252515A (en) * 1991-08-12 1993-10-12 Taiwan Semiconductor Manufacturing Company Method for field inversion free multiple layer metallurgy VLSI processing
US5250472A (en) * 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5403780A (en) * 1993-06-04 1995-04-04 Jain; Vivek Method enhancing planarization etchback margin, reliability, and stability of a semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60132344A (ja) * 1983-12-20 1985-07-15 Nec Corp 半導体装置
JPS6365646A (ja) * 1986-09-05 1988-03-24 Nec Corp 半導体装置
JPS6445148A (en) * 1987-08-13 1989-02-17 Fuji Xerox Co Ltd Semiconductor device and manufacture thereof
JPH01185945A (ja) * 1988-01-21 1989-07-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5569618A (en) * 1992-03-03 1996-10-29 Nec Corporation Method for planarizing insulating film
JPH06216348A (ja) * 1992-12-10 1994-08-05 Samsung Electron Co Ltd マスクrom製造方法
KR100432785B1 (ko) * 2001-12-20 2004-05-24 주식회사 하이닉스반도체 반도체 소자의 제조 방법
CN110634835A (zh) * 2018-06-21 2019-12-31 三菱电机株式会社 半导体装置及其制造方法
CN110634835B (zh) * 2018-06-21 2023-10-24 三菱电机株式会社 半导体装置及其制造方法

Also Published As

Publication number Publication date
US5319247A (en) 1994-06-07
KR920008851A (ko) 1992-05-28
US5721156A (en) 1998-02-24
US5459105A (en) 1995-10-17
JP2640174B2 (ja) 1997-08-13

Similar Documents

Publication Publication Date Title
JPH04167429A (ja) 半導体装置およびその製造方法
KR100624566B1 (ko) 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
JPH08148559A (ja) 絶縁膜を有する半導体装置の製造方法
JPH11204645A (ja) 半導体素子の層間絶縁膜及びその製造方法
JPH06177120A (ja) 層間絶縁膜の形成方法
JPH01185945A (ja) 半導体装置の製造方法
KR0149468B1 (ko) 반도체 장치의 제조방법
JP3158835B2 (ja) 半導体装置とその製造方法
JPH05335299A (ja) 半導体装置の製造方法
KR100588636B1 (ko) 반도체 소자의 층간 절연막 제조 방법
JP2933766B2 (ja) 半導体装置およびその製造方法
KR100367499B1 (ko) 반도체소자의제조방법
KR100717823B1 (ko) 반도체 소자의 아이엠디막 형성방법
JPH03159124A (ja) 半導体装置の製造方法
KR100254471B1 (ko) 금속배선층간 절연막 평탄화방법
KR100623596B1 (ko) 반도체소자의 콘택 형성 방법
JP2833370B2 (ja) 半導体装置の製造方法
KR20050009909A (ko) 반도체 소자의 층간 절연막 구조 및 그 형성방법
KR100277867B1 (ko) 반도체소자의배선형성방법
KR100329736B1 (ko) 층간 절연막 형성 방법
KR20080036288A (ko) 반도체 소자의 층간 절연막 제조방법
JPH0669361A (ja) 半導体装置とその製造方法
JPH06283528A (ja) 半導体装置の製造方法
KR19980043737A (ko) 반도체 장치의 층간 절연막 형성방법
JPH06275614A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080502

Year of fee payment: 11

LAPS Cancellation because of no payment of annual fees