JP5319533B2 - 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法 - Google Patents

転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法 Download PDF

Info

Publication number
JP5319533B2
JP5319533B2 JP2009529401A JP2009529401A JP5319533B2 JP 5319533 B2 JP5319533 B2 JP 5319533B2 JP 2009529401 A JP2009529401 A JP 2009529401A JP 2009529401 A JP2009529401 A JP 2009529401A JP 5319533 B2 JP5319533 B2 JP 5319533B2
Authority
JP
Japan
Prior art keywords
layer
layers
substrate
functional
multilayer structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009529401A
Other languages
English (en)
Other versions
JP2010504649A (ja
JP2010504649A5 (ja
Inventor
ジョン エー. ロジャース,
ラルフ, ジー. ヌッツォ,
マシュー メイトル,
ヒョン チョ コー,
ジョンスン ユン,
エティエンヌ メナード,
アルフレッド, ジェイ. バカ,
Original Assignee
ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ filed Critical ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ
Publication of JP2010504649A publication Critical patent/JP2010504649A/ja
Publication of JP2010504649A5 publication Critical patent/JP2010504649A5/ja
Application granted granted Critical
Publication of JP5319533B2 publication Critical patent/JP5319533B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0735Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type comprising only AIIIBV compound semiconductors, e.g. GaAs/AlGaAs or InP/GaInAs solar cells
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y20/00Nanooptics, e.g. quantum optics or photonic crystals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • H01L21/7813Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate leaving a reusable substrate, e.g. epitaxial lift off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • H01L29/155Comprising only semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L31/03046Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds including ternary or quaternary compounds, e.g. GaAlAs, InGaAs, InGaAsP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0725Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1844Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising ternary or quaternary compounds, e.g. Ga Al As, In Ga As P
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/0191Transfer of a layer from a carrier wafer to a device wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/52PV systems with concentrators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1168Gripping and pulling work apart during delaminating
    • Y10T156/1195Delaminating from release surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Ceramic Engineering (AREA)
  • Mathematical Physics (AREA)
  • Biophysics (AREA)
  • Optics & Photonics (AREA)
  • Theoretical Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Photovoltaic Devices (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Weting (AREA)
  • Led Devices (AREA)
  • Light Receiving Elements (AREA)
  • Drying Of Semiconductors (AREA)
  • Electroluminescent Light Sources (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

関連出願の相互参照
[0001]本出願は、2006年9月20日に出願された米国仮特許出願第60/826,354号、及び2007年6月18日に出願された米国仮特許出願第60/944,653号の利益を主張するものであり、これら出願を本開示と矛盾しない範囲で参照することにより援用するものである。
発明の背景
[0002]ナノ構造、マイクロ構造、可撓性電子部品、及び様々な他のパターン構造といった構造を、デバイス基板及びデバイス基板によって支持されたデバイスコンポーネント(構成要素)上に印刷するために、様々なプラットフォームを利用することが可能である。例えば、米国特許出願第11/115,954号(2005年4月27日に出願された18−04)第11/145,574号(2005年6月2日に出願された38−04A)、第11/145,542号(2005年6月2日に出願された38−04B)、第11/423,287号(2006年6月9日に出願された38−04C)、第11/423,192号(2006年6月9日に出願された41−06)、第11/421,654号(2006年6月1日に出願された43−06)、第60/826,354号(2006年9月20日に出願された151−06P)等の幾つかの特許及び特許出願が、多様な構造の作成及び印刷を行う様々な方法及びシステムを説明している。本出願は、これら出願を矛盾しない範囲で参照することにより援用する。現在、転写可能な半導体素子を生成する方法及び構造への要求が存在している。また、デバイス及びデバイスコンポーネントを作成する高スループット処理と両立できる低コストの方法及び構造への特別な要求が存在している。
[0003]デバイス基板又はデバイス基板上のデバイスコンポーネントに印刷することが可能な構造を低コストで生成可能とする方法及び関連するシステムを提供する。これは、個々の層にアクセス可能であるように構成された多層構造のスタックを提供することによって達成される。機能層である個々の層は特定用途のものであり、機能層は後にデバイス及びデバイスコンポーネントに組み込まれる。個々の層は、順次、層ごとにアクセスする又は同時に二つ以上の層にアクセスする剥離方法によってアクセスされる。これらの機能層は、多様な印刷方法及びシステムによって、デバイス又はデバイスコンポーネント上に印刷するか又はそれらの中に組み込むことができる。これらの多層スタックシステムは、複数の層に含まれる複数の印刷可能又は転写可能な機能構造を単一のプロセスで生成できるようにし、それによって、印刷可能又は転写可能な構造又は層当たりのコストを低減し、目的のデバイス又はデバイスコンポーネントの最終コストを低減する。
[0004]一態様においては、本発明は、複数の機能層を有する多層構造によって、低コスト及び/又は高性能な光起電力素子を作成する方法を提供する。この多層構造は、光起電力素子を有する太陽電池に組み込むことが可能である。この多層アプローチは、幾つかの理由で有利なものである。例えば、多数の太陽電池を単一の堆積作業で成長することができ、それによって、成長チャンバのローディング及びアンローディング、成長基板表面の準備、及び単層作成アプローチで一般に必要とされる緩衝層の堆積を避けることができる。これにより、太陽電池層当たりの製造コストが著しく低減され、それによって、太陽電池デバイスコンポーネントのコストが低減される。さらに、母基板から機能層を完全にリフトオフすることができるので、同じ母基板上に追加の多層構造を構築することによって、母基板を再使用できるようになる。さらに、本多層構成は、容易に放熱され、且つ、プラスチック及び広範囲の形状因子を有する他の基板に容易に印刷することができる転写可能な構造を提供することができる。
[0005]一実施形態では、複数の機能層及び複数の剥離層を有する多層構造を提供することによって、デバイス又はデバイスコンポーネントを作成する方法が提供される。この構成では、剥離層の少なくとも一部が、機能層にアクセスできるよう、機能層間に設けられる。機能層の少なくとも一部が、一以上の剥離層又は剥離層の一部を一以上の機能層から分離することによって、多層構造から剥離される。この機能層の剥離は、基板上に印刷することができる構造を生成する。デバイス又はデバイスコンポーネントが、一以上の転写可能構造を、デバイス基板又はデバイス基板によって支持されたデバイスコンポーネント上に、接触印刷による等の当技術分野で知られている任意の印刷手段(例えば接触印刷、液体印刷、乾式転写接触印刷、ソフトリソグラフィマイクロ転写印刷及びソフトリソグラフィナノ転写印刷、溶液印刷、流体自己アセンブリ、インクジェット印刷、熱転写印刷、及びスクリーン印刷)により印刷することによって作成される。
[0006]剥離は広く使用されているものであり、多層構造において層の少なくとも一部を他の層から分離する任意の手段を指すものである。例えば、機能層の少なくとも一部を多層基部(substructure)から剥離する工程は、少なくとも1対の隣接する層を物理的に分離することによるものとすることができる。隣接する層は、多層構造において機能層に隣接している剥離層とすることができる。剥離層は、剥離刺激に応じて機能層の少なくとも一部が容易に剥離できるように構築される。例えば、剥離刺激は、剥離層の少なくとも一部を除去する化学的又は物理的な刺激を含み得るものであり、それによって、隣接する機能層の剥離を容易なものとする。しかしながら、対象の剥離層に作用し得る任意の刺激を使用することが可能である。剥離工程の他の例は、限定するものではないが、一以上の剥離層をエッチングすること、一以上の剥離層に熱衝撃を与えること、レーザ光源からの電磁放射に一以上の剥離層を晒すことによって当該剥離層をアブレーションすること、及び、化学薬剤に一以上の剥離層を接触させることによって当該剥離層を分解することを含む。一態様においては、機能層は当該層の端部に設けられたアンカー手段によって隣接する層に接続され、したがって、剥離は、これら端部でアンダーカットを行い、機能層をリフトオフすることによって達成される。或いは、アンカーは犠牲層又は剥離層中にパターンとして設けられ、それによって、隣接する層又は基板に固定されたアンカーが提供される。これらのアンカーは、破壊可能な係留(Tether)ポイントの設計に柔軟性を与え、機能層部分のリフトオフの制御を容易にする。オプションとして、本明細書で開示する如何なる方法においても、リフトオフされた機能層に付着したままの層が、除去される。一態様においては、リフトオフは、エラストマースタンプといったスタンプに多層構造を接触させることによって達成される。オプションとして、スタンプを使用して、リフトオフ構造の表面への接触印刷を容易とすることができる。
[0007]剥離層への信号の伝達を容易にするために、信号が通過する任意の一以上の機能層は、信号を少なくとも部分的に伝達することができる。例えば、電磁放射である信号の場合には、機能層は、剥離層の少なくとも一部をアブレーションすることができる電磁放射に対して少なくとも部分的に透明である。或いは、電磁放射が、多層構造を支持する基板の他方の側といった反対側から伝達される場合には、基板は電磁放射に対して少なくとも部分的に透明である。
[0008]剥離する別の手段は、剥離層に設けられた界面クラックである。このようなクラックは、剥離層といったシステムに応力を加えることによって一以上の機能層のリフトオフを容易にする。クラックは、限定するものではないが、機械的、化学的、又は熱的に生成された力を含む、当技術分野で知られている任意の手段によって導入することができる。
[0009]一態様においては、本明細書に開示する何れの方法も、多層構造の少なくとも一部をマスクする工程を更に含み得る。例えば、マスク層が、一以上の機能層と物理的に接触する。このようなマスクは、多層構造から機能層の少なくとも一部を剥離するために剥離信号として与えられるエッチング液、溶媒、又は化学薬剤に、一以上の機能層が晒されることを少なくとも部分的に防ぐことができる。このようなマスクは、機能層が、高価であり且つエッチング液などの剥離信号によって損傷し易い高品質層である用途で有用となることがある。
[0010]別の態様においては、一以上の機能層に接触したキャリアフィルムを提供し、機能層の一部を多層基部から剥離する工程を更に容易なものとする。
[0011]本明細書で提供する方法及びシステムは、多様な形状を有する多様な転写可能構造を生成するのに有用である。したがって、この方法は、多様なデバイス及びデバイスコンポーネントの製造用の幾つかのデバイス製造プロセスに組み込むことができる。一態様においては、転写可能構造は、層構造タイプの形状を有する。別の態様においては、凹部を本技術分野で公知の任意の方法によって提供して、機能層の少なくとも一つが、一以上の予め選択されたマイクロサイズ又はナノサイズの物理的寸法を有する転写可能構造を生成するようにする。例えば、少なくとも一つの機能層における凹部の生成は、フォトリソグラフィ、ソフトリソグラフィ、電子ビーム直接描画、又は光アブレーションパターニングであるパターニング技法といったパターニング技法を使用して任意に行われる。
[0012]本発明の機能層は、広く使用されるものであり、デバイス又はデバイスコンポーネント内で利用される材料を指す。様々なデバイス及びデバイスコンポーネントに対して広い用途をもつ機能層は、半導体を有する多層又は一連の(例えば複数の)半導体層である。機能層の組成及び形状は、その機能層の最終用途又は機能に応じて選択される。例えば、一連の半導体層は、単一の結晶半導体層、有機半導体層、無機半導体層、III−V族半導体層、及びIV族元素又は化合物半導体からなる群から選択された少なくとも一つの半導体層とすることができる。別の態様においては、一連の半導体層のシーケンスは、異なる半導体材料を有する少なくとも二つの半導体層である。一態様においては、少なくとも一つの機能層が、一以上の誘電体層又は一以上の導体層から作成される。一実施形態では、多層中の機能層が、他の機能層と異なっていてもよい。一実施形態では、多層中の機能層が、全て同じである。一実施形態では、多層中の機能層が、複数の半導体層のような複合レシピによる個々の層である。本出願の一部として含まれる図面においては、これら機能層に由来する構造を、「機能材料素子又はデバイス」(FMED)と呼ぶ。
[0013]本明細書で説明する幾つかの方法に有用な他の機能層は、限定するものではないが、電子、光学、若しくは電気光学デバイス、又は電子、光学、若しくは電気光学デバイスコンポーネント、即ち、P−N接合、薄膜トランジスタ、単接合太陽電池、多接合太陽電池、フォトダイオード、発光ダイオード、レーザ、CMOSデバイス、MOSFETデバイス、MESFETデバイス又はHEMTデバイスの一部であるコンポーネントである機能層を含む。
[0014]一実施形態では、何れの多層構造も基板上に生成される。一態様においては、少なくとも一つの剥離層が、機能層と基板との間に設けられた剥離層のように、多層構造と基板との間に設けられる。別の態様においては、剥離層は、多層構造と基板との間に設けられない。その場合、母基板及び/又は隣接する機能層が、基板から機能層を剥離できるようにする。一態様では、母基板はそれ自体剥離層である。
[0015]多層構造、具体的には多層構造の個々の層は、本技術分野で公知のように、基板表面に堆積又は成長することができる。例えば、表面に層を成長又は堆積する任意の一以上の手段は、限定するものではないが、エピタキシャル成長、蒸着堆積、気相エピタキシ、分子線エピタキシ、有機金属化学気相堆積、化学気相堆積、物理気相堆積、スパッタリング堆積、ゾルゲルコーティング、電子ビーム蒸着堆積、プラズマ化学気相堆積、原子層堆積、液相エピタキシ、電気化学堆積、及びスピンコーティングを含む種々の技法から選択することができる。そのような方法で、複数の転写可能な構造がシステムから生成され、最後の機能層(例えば、基板表面に最も近い層)が剥離されると、基板が任意に再使用される。そのような再使用は、基板それ自身が損傷するか、破壊されるか、又は、最終のデバイス若しくはデバイスコンポーネントに組み込まれる製造プロセスと比較して、コストの節減をもたらす。
[0016]多層構造は、交互の剥離層と機能層のように、予め選択された一連の薄膜であって基板上にエピタキシャル成長された一連の薄膜のシーケンスを有する機能層及び/又は剥離層を任意に含む。一実施形態では、機能層は、約5nmから約50000ナノメートルまでの範囲から選択された厚さを有する。一実施形態では、多層構造は、約2個から約200個の機能層及び/又は約2個から約200個の剥離層を有する。剥離層は、システム構成に応じて、1nm程度の薄さとすることができる。他の実施形態では、剥離層はより厚く、例えば、約1μmと2μmとの間とすることができる。剥離層の材料の組成の実際の選択は、高品質機能層を成長すること(例えばエピタキシャル成長)が望ましいか否かといったような幾つかのパラメータに基づいてなされる。剥離層の組成についての制約は、成長がエピタキシャルでない場合に緩和することができる。さらに、剥離層の組成は、多層構造から機能層を剥離するための剥離方法に適合するべきである。例えば、剥離メカニズムがクラッキングによるものである場合、ヤング率を選択して、最適なクラッキングを得ることができる。
[0017]多様なデバイスを、本明細書で開示する何れかの方法を使用して、作成することができる。一態様においては、本発明は、光起電力デバイス若しくはデバイスアレイ、トランジスタデバイス若しくはデバイスアレイ、発光ダイオードデバイス若しくはデバイスアレイ、レーザ若しくはレーザのアレイ、センサ若しくはセンサアレイ、集積電子回路、マイクロ電気機械デバイス、又はナノ電気機械デバイを作成する方法を提供する。
[0018]一実施形態では、本発明の何れの方法も、転写可能な半導体構造を作成するためのものである。例えば、転写可能な半導体構造は、一以上の半導体薄膜を有する機能層の少なくとも一部から作成され、一以上の剥離層又はその一部を一以上の機能層から分離することによって機能層の少なくとも一部を多層構造から剥離することで、作成される。同様に、予め選択された半導体薄膜のシーケンスを有する光起電力セルのようにそれ自体光起電力セルである機能層の少なくとも一部を提供することによって、光起電力デバイス又はデバイスアレイを作成する方法を提供する。
[0019]別の実施形態では、本発明は、犠牲層が基板表面の少なくとも一部に設けられたデバイス又はデバイスコンポーネントを作成する方法である。犠牲層は、基板からの機能層の取り出しを容易にする材料を指すよう広義に使用されている。犠牲層は、機能層の材料を受容するための受容面を有する。犠牲層は、当技術分野で公知の任意の手段によって選択的にパターン化され、下地の基板又は基板上の薄膜若しくは被覆を対応するパターンで露出する。露出された基板のパターンは、続いて機能層が堆積されると、機能層の潜在的なアンカー領域に対応する。具体的には、堆積された機能層は、二つの領域、即ち、犠牲層中のパターン化された領域に対応する「アンカー領域」と、下地の基板から機能層を分離する犠牲層が存在する「非アンカー領域」とを有する。アンカーは、ブリッジ要素として機能して、非アンカー領域に対応するパターンで機能層を制御してリフトオフすることを容易にする。機能層の一部が剥離され、機能層アンカーのパターンが基板に少なくとも部分的に固定されたままであり、基板に固定されていない機能層の少なくとも一部が剥離され、それによって、複数の転写可能な構造が生成される。転写可能な構造はデバイス基板又はデバイス基板によって支持されたデバイスコンポーネント上に任意に印刷され、それによって、デバイス又はデバイスコンポーネントが作成される。本明細書で説明するように、接触印刷又は溶液印刷などの本技術分野で公知の任意の印刷手段を使用することができる。
[0020]一実施形態では、剥離する工程は、エラストマースタンプを機能層の少なくとも一部に接触させることと、スタンプを機能層との接触から解除することと、を含み、それによって、基板に固定されていない機能層の少なくとも一部を取り出す。
[0021]別の実施形態では、剥離する工程は、犠牲層をエッチングすること、犠牲層に熱衝撃を与えること、レーザ光源からの放射に犠牲層を晒すことによってアブレーション又は分解すること、及び化学薬剤に犠牲層を接触させることによって犠牲層を分解することからなる群から選択された技法を使用する。次に、アンカーから機能構造を選択的に離脱させるスタンプによるなどの本技術分野で公知の任意の手段によって、機能層は任意に切り離し又は取り出しが行われ、それによって、犠牲層に当初適用されたパターンに対応し得る印刷機能構造が提供される。
[0022]一実施形態では、本明細書で開示する何れのパターニングプロセスも、本発明の多層プロセスに組み込まれるアンカーを提供する。例えば、このパターニングを、機能層を分離する本発明の一以上の剥離層に適用して、複数の機能材料及び/又は機能層を制御可能に剥離する追加の手段を提供することができる。
[0023]別の実施形態では、本発明は、多層アレイ中に設けられた複数の転写可能な半導体素子を作成する方法である。このようなプロセスは、単層から及び/又は各層が複数の要素を生成することができる多層から、多数の要素を製造できるようにし、下地の表面に取り付けられている要素の処理を含む追加の要素処理を可能にする。例えば、この方法は、無機半導体を含むウェハといった外部表面を有するウェハを提供する工程を含むことができる。外部表面の選択された領域が外部表面に第1のマスクを設けることによってマスクされ、それによって、外部表面のマスクされた領域とマスクされない領域とが生成される。外部表面からウェハ内に延びる複数の起伏部は、ウェハの外部表面のマスクされていない領域をエッチングすることによって生成される。このようにして、起伏部の少なくとも一部は、少なくとも一方の側面の長さに沿って空間的に変化する輪郭プロファイルを有する少なくとも一つの起伏のある側面を有する。別のマスクする工程では、第2のマスクが起伏のある側面をマスクし、起伏のある側面が第2のマスクによって単に部分的にマスクされる。これは、マスクされた領域とマスクされていない領域とを、側面の長さに沿って生成する。マスクされていない領域をエッチングして、多層アレイ内に設けられた複数の転写可能な半導体素子を生成する。
[0024]これらの方法のいずれもが、バルク半導体ウェハ、例えば(111)方位を有するシリコンウェハであるウェハを任意に使用する。
[0025]一態様においては、ウェハの外部表面のマスクされていない領域をエッチングする工程は、凹部をもつ側面を反応性イオンエッチング液とエッチングレジスト材料とに繰り返しさらすことによるように、凹部をもつ側面をエッチング液とエッチングレジスト材料とに繰り返し晒すことによって行われる。別の態様においては、エッチングする工程は、誘導結合プラズマ反応性イオンエッチング、緩衝酸化物エッチング液、又は誘導結合プラズマ反応性イオンエッチング技法と緩衝酸化物エッチング液技法の双方の組合せを使用して行われる。
[0026]一実施形態では、起伏のある側面の輪郭プロファイルは、当該側面の長手方向の長軸と交差する長さを延長する複数の形状特徴を有する。例えば、輪郭プロファイルは、当該側面上に設けられた隆起、波形、及び/又はスカラップ形の凹部とすることができる。隆起、波形、又はスカラップ形の凹部のいずれも、第2のマスクを設けることによって起伏のある側面をマスクする工程の間、陰影マスクとして機能し、それによって、側面のマスクされていない領域を生成する。
[0027]本発明の一態様においては、第2のマスクを設けることによって起伏のある側面をマスクする工程は、マスク材料の傾斜気相堆積によって行われる。
[0028]一態様においては、側面のマスクされていない領域をエッチングする工程は、例えば(111)方位を有するシリコンウェハであるウェハを用いて、異方性エッチングによって行われ、側面のマスクされていない領域のエッチングは、シリコンウェハの<110>方向に沿って選択的異方性エッチングによって行われる。異方性エッチングは、強塩基に側面のマスクされていない領域を晒すことによって任意に行われる。
[0029]一実施形態では、側面のマスクされていない領域のエッチングは転写可能な半導体素子を生成し、素子の各々はブリッジ要素を介してウェハに接続される。
[0030]説明したシステムのいずれも、耐エッチ性マスクである第1及び第2のマスクといった耐エッチ性マスクであるマスクを任意に有する。
[0031]別の態様では、本発明は、本明細書で開示するプロセスのいずれかによって複数の転写可能な半導体素子を供給し、次に、基板上に転写可能な半導体素子を印刷することによって複数の転写可能な半導体素子を基板上にアセンブルする方法である。例えば、電子デバイス又は電子デバイスコンポーネントを作成する方法を提供する。この方法は本発明のプロセスによって多層アレイ中に設けられた複数の転写可能な半導体素子を提供する工程を含む。転写可能な半導体素子は基板上に印刷され、それによって、電子デバイス又は電子デバイスコンポーネントが作成される。本明細書で開示する方法のいずれも、接触印刷によって行われる印刷する工程を使用する。本明細書で開示する方法のいずれも、多層の異なる層において転写可能な半導体を連続して印刷することによって行われる印刷する工程を含む。
[0032]一実施形態では、アレイの第1の層の半導体素子を印刷する工程は、第1の層の下に設けられたアレイの層において一以上の転写可能な半導体素子を露出する。
[0033]本発明の別の実施形態は、同種及び/又は異種の固定方法によって転写可能な半導体要素を作成する方法である。このような固定は、非固定システム及びプロセスと比較して、転写可能な要素を支持するウェハのより効率的な使用、高度な転写制御、及び高度な位置合わせ転写などの幾つかの利点を与える。具体的には、アンカー又はブリッジ要素は、剥離又は転写される要素の形状に対する局所的な制御を可能にする。
[0034]「同種の固定」(例えば図20、35、37)は、機能層の一体化部分であるアンカーを指す。一般に、同種の固定システムによって転写可能な要素を作成する方法は、オプションとして、ウェハを供給し、ウェハ表面の少なくとも一部に犠牲層を堆積し、本技術分野で公知の任意の手段により半導体素子を形成し、アンカー領域を画成することによるものである。アンカー領域は、半導体要素の特定の領域に対応する。アンカー領域は、半導体層の幾何学的形状、例えば比較的大きい表面区域により画成されたアンカーに対応することができ、ブリッジ要素又は係留(Tether)要素によって転写可能な要素に接続される(例えば、図19、20、37を参照)。そのような形状は、単層又は多層の実施形態のいずれについても特定の非アンカー領域のリフトオフを容易にする手段を与える。或いは、アンカーは、下地のウェハに取り付けられるか又は接続される半導体領域に対応する(例えば図35)。犠牲層の除去は、下地のウェハに物理的に接続された半導体の一部を残しながら半導体素子を取り出す又は転写する手段を可能にする。
[0035]「異種固定」(例えば図21、22)は、半導体層と異なる材料で作成されるか又は同じ材料で作成されるが、転写可能な半導体素子がシステムに配置された後に画成されるアンカーのような機能層の一体化部分でないアンカーを指す。同種アンカリングと比較して、異種アンカリングの一つの利点は、より良好な転写画成方策及び実効的な使用可能ウェハ実装面積のさらなる改善に関連する。異種方法の実施形態では、ウェハが供給され、ウェハは犠牲層で被覆され、半導体素子が形成され、半導体領域を固定する異種アンカー要素が堆積される。一態様では、アンカーは、フォトレジスト若しくはSiN(窒化ケイ素)などのレジスト材料であるか、又は、固定することができ、非アンカー領域が同じようには耐えることができないリフトオフ力に耐えることができる程度の剛性を有する他の材料である。アンカーは、一番上の半導体層から下地層を通って下地のウェハ基板まで及ぶことがある。犠牲層の除去は、例えば接触転写によるように、アンカー領域をウェハに接続したままで非アンカー領域を除去する手段を与える。別の実施形態では、多層システム用に、アンカーは最上層を下地の半導体層に固定できるようにする。或いは、アンカーシステムは単層半導体層システム向けのものである。
[0036]アンカーシステムのいずれも、犠牲層、機能層、及び剥離層のうち一以上を、本技術分野で公知の任意の手段によってパターン化し、露出したウェハ基板及び/又は露出した下地の半導体層を生成することによって随意に作成される。これらのアンカーシステムは、複数の転写可能な半導体素子を作成するのに、並びに転写可能な半導体素子から電子デバイス又はデバイスコンポーネントを作成するのに有用である。
基板上の多層構造の概略図である。 機能及び剥離層の構成の拡大図である。 犠牲層及びマスキング構造の除去による剥離を示す図である。 封入マスク層を使用してFMEDを剥離するプロセスに関する工程を要約するフローチャートである。 金属−半導体電界効果トランジスタ(MESFETS)用にFMEDを剥離する場合の基板の例を示す図である。 多層構造から剥離層を分離するための二つの異なる方式を対比する図であり、二つ以上の剥離層の同時除去を示す図である。 多層構造から剥離層を分離するための二つの異なる方式を対比する図であり、剥離層の一つずつの除去を示す図である。 様々な機能層(例えば機能材料要素又はデバイス(FMED))及び剥離層を含む多層構造を示す図である。 様々な機能層(例えば機能材料要素又はデバイス(FMED))及び剥離層を含む多層構造を示す図である。 様々な機能層(例えば機能材料要素又はデバイス(FMED))及び剥離層を含む多層構造を示す図であり、表2は、図3Eに示す機能層の複合層のレシピを示している。 基板の任意の再使用を伴う「一度に多層」プロセスにより光起電力素子用のFMEDを剥離するフローチャートである。 基板の任意の再使用を伴う「一度に1層」プロセスにより光起電力素子用のFMEDを剥離するフローチャートである。 剥離層を分離するためのレーザアブレーションの使用を要約する図であり、全プロセスを示す図である。 剥離層を分離するためのレーザアブレーションの使用を要約する図であり、レーザアブレーションによりLED用のFMEDを剥離するための構造の例を示す図である。 剥離層を分離するためのレーザアブレーションの使用を要約する図であり、レーザアブレーションによりLED用のFMEDを剥離するための一つのプロセスを要約するフローチャートである。 FMEDと母基板との間の界面にクラックを導入し、次に、クラックを伝播させるよう母基板から(例えば、ゴムスタンプを使用して)FMEDを引き離すことによる剥離を要約する図であり、全プロセスを示す図である。 FMEDと母基板との間の界面にクラックを導入し、次に、クラックを伝播させるよう母基板から(例えば、ゴムスタンプを使用して)FMEDを引き離すことによる剥離を要約する図であり、化学的手段により導入されたクラックを伝播させることによってLED用のFMEDを剥離するプロセスを要約するフローチャートである。 FMEDと母基板との間の界面にクラックを導入し、次に、母基板からFMEDを引き離すことによる、キャリアフィルムを使用する剥離を要約する図であり、全プロセスを示す図である。 FMEDと母基板との間の界面にクラックを導入し、次に、母基板からFMEDを引き離すことによる、キャリアフィルムを使用する剥離を要約する図であり、クラックを伝播させることによってFMEDを剥離するための構造の例を示す図である。 FMEDと母基板との間の界面にクラックを導入し、次に、母基板からFMEDを引き離すことによる、キャリアフィルムを使用する剥離を要約する図であり、キャリアフィルム及び機械的に導入されたクラックの離間を使用してFMED(SWNTのアレイ)を剥離するための一つのプロセスを要約するフローチャートである。 二つ以上の剥離層の同時剥離と母基板の再使用可能な使用とを組み合わせた反復可能サイクルを示す図である。この例では、FMED層及び犠牲層が母基板上に準備され、FMEDが作成され、犠牲層がFMEDを剥離するために除去され、このプロセスが繰り返される。図9Aは全プロセスを示す。対応するプロセスのフローチャートは図4、5に示されている。 ここでは多層形状(四つの犠牲層)で示す犠牲層の選択的な除去により多結晶/アモルファスのFMED材料を剥離するための基板の構造図である。 化学的に導入されたクラックを伝播させることによってFMEDを取り出すための基板の構造図である。 犠牲層の除去によってアモルファス又は多結晶のFMED構造を剥離するためのプロセスのフローチャートである。 Aは、Si太陽電池による熱化及び透過損失を示す波長の関数としてのスペクトル照射のグラフである。Bは、接合の数の関数としての太陽電池の理論的限界のプロットである。単結晶及び多結晶太陽電池によって達成された値もプロットされている。Dimroth及びKurtz、「High Efficiency Multijunction Solar Cells」、MRS Bull.32:230(2007年)から。 格子及び電流整合が高品質デバイスを提供することを示す図である。「High Efficiency Multijunction Solar Cells」、Dimroth及びKurtz、MRS Bull.32:230(2007年)から。 In0.5Ga0.5P/GaAsデバイス(左)及び関連する構造(右)を要約する図である(Takamotoら、「Over 30% efficient InGaP/GaAs tandem solar cells」、App.Phys.Letters 70:381(1997年)から)。 低コスト、高性能の太陽電池層を提供するための多層構造の概略図である。 シリコンウェハの表面に生成された多層スタックからシリコンマイクロ/ナノリボンの編成されたアレイを一度に1層ずつ転写印刷する工程の概略図である。リボンのアレイは、ここで示すように可撓性プラスチックを含む広範囲の基板に印刷することができる。左側の破線のボックスは、右側に見える拡大領域を示す。 リボンの多層スタック(上のパネル)を支持するSi(111)ウェハ(上のパネル)の走査型電子顕微鏡写真である。下のパネルはリボンのSEMであり、挿入図は光学写真(スケールバー2mm)である。 剥離層(犠牲層)の部分的除去であり、且つ一度に幾つかの剥離層の除去による機能層の部分的剥離の概略図である(図3Aも参照)。剥離層が部分的に除去された後、デバイスが基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り出しとによるデバイスの取り出しによって行われる。さらに、多層スタックの再堆積用に基板を準備するためにアンカー構造を除去する工程を概説する。 図19−1に続く図である。 図19−2に続く図である。 剥離層(犠牲層)の部分的除去であり、且つ、一度に一つの剥離層の除去による機能層の部分的剥離の概略図である(図3Bも参照)。剥離層が部分的に除去された後、デバイスが基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り戻しとによるデバイスの取り出しの際に生じる。この図は、「一度に1層剥離プロセス」(図3Bにおけるように)の繰り返しに向けて基板を準備するために、及び多層スタックの再堆積に向けて基板を準備するために、アンカー構造を除去する工程も概説する。 図20−1に続く図である。 図20−2に続く図である。 横方向エッチストップ部又はアンカーポストを使用する、一度に幾つかの剥離層(犠牲層)を除去することによる機能層の部分的剥離の概略図である(図3Aも参照)。剥離層が除去された後、デバイスが横方向エッチストップ部/アンカーポストによって基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り戻しとによるデバイスの取り出しの際に生じる。この図は、多層スタックの再堆積に向けて基板を準備するために横方向エッチストップ部/アンカーポストを除去する工程も概説する。 図21−1に続く図である。 横方向エッチストップ部又はアンカーポストを使用する、一度に一つの剥離層(犠牲層)を除去することによる機能層の部分的剥離の概略図である(図3Bも参照)。剥離層が除去された後、デバイスが横方向エッチストップ部/アンカーポストによって基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り戻しとによるデバイスの取り出しの際に生じる。この図は、「一度に1層剥離プロセス」(図3Bにおけるように)を繰り返すために、及び多層スタックの再堆積に向けて基板を準備するために、横方向エッチストップ部/アンカーポストを除去する工程も概説する。 図22−1に続く図である。 機能層が図20で説明したプロセスと同様のプロセスによって部分的に剥離された後、付着防止層又は活性化層を有する機能層を後に剥離処理する概略図である。付着防止層又は活性化層は、自己組織化単分子層(SAM)であることが多く、剥離された層と下地の層との間の接着を防止するか(付着防止)、又は剥離された層と第2の材料(例えば、エラストマースタンプ、ナノ粒子、生物学的要素など)との間の接着を促進する(活性化)よう機能する。 プラスチック上に印刷された薄膜iLEDを示す図である。iLEDエピ層構造構成を左の図に示している。これらのLEDは、スタック構成からではなくウェハから剥離できるように示してある。しかし、本明細書で開示するように、これらのLEDは多層構成で剥離されてもよい。 従来のバルクSi(111)ウェハから、多層スタック構成で、多量の単結晶シリコンのマイクロ/ナノリボンを作成する工程の概略図である。このプロセスは、彫られた側壁を有するトレンチを生成する専用のエッチング手順、浅角度方向物理気相堆積、及び異方性湿式化学エッチンングの組合せを活用する。左側の破線のボックスは、右側に見える拡大領域を示している。BOEは緩衝酸化物エッチング液を表わしている。 リボンの多層スタックの作成の様々な段階での斜視図(a、c、e、g)と断面図(b、d、f、h)におけるSi(111)ウェハの一連の走査型電子顕微鏡写真である。(a)及び(b)は、波形の側壁をもつトレンチを生成する垂直エッチング(ICPRIE)の後を示しており、(c)及び(d)は、金属マスク層の浅角度物理気相堆積の後を示しており、(e)及び(f)は2分間、(g)及び(h)は5分間の異方性湿式化学エッチング(KOH)とそれに続く金属の除去の後を示している。 ウェハから剥離後のSi(111)リボンの(a)写真と(b及びc)光学顕微鏡写真である。(d〜f)は、様々なレベルの拡大での(a)に示されたリボンの走査型電子顕微鏡写真である。 (a)は、Si(111)リボンの4層スタックの大きい整列したアレイの写真である。(b)及び(c)は、(a)に示すサンプルの走査型電子顕微鏡写真の上面図であり、(d及びe)は斜視図である。リボンの端部のアンカー構造は、リボンが異方性エッチング液によって完全にアンダーカットされた後でさえ、それらのリソグラフィで形成された位置を維持するように、下地のウェハにリボンを付けたままにしている。 (a)は、ポリジメチルシロキサンの基板上に印刷された、整列したSi(111)リボン転写の光学画像である。(b)は、(a)に示したアレイからの四つのリボンからの原子間力顕微鏡画像及びラインスキャンである。処理された単一のSiチップを使用する転写印刷の4サイクルによって生成されたSi(111)リボンアレイの四つの別個のパッチを支持する可撓性ポリエステルフィルムの写真である。 (a)半導体としてシリコンリボンを使用するトランジスタの概略断面図である。(b)は、デバイスの光学顕微鏡写真の上面図である。(c)及び(d)は、典型的なデバイスからの増幅特性曲線及び全電流/電圧特性である。 異なるSTS−ICPRIE条件と、異なる厚さをもつシリコンナノリボンとに係る様々な側壁を示す図である。 電子ビーム蒸着に対するシャドウイングマスク対角度の範囲を示す図である。 EDAXエネルギー分散分光(EDS)分析を示す図である。 EDAXエネルギー分散分光(EDS)分析を示す図である。 EDAXエネルギー分散分光(EDS)分析を示す図である。 一連の7層Siリボンを示す図である。 パターン化犠牲構造を使用するアンカー剥離の概略図である。 AuがPECVD SiOから剥離される図35のプロセスの一例を示す図である。 剥離の準備ができているAl0.9Ga0.1As層(各々100nm厚)によって分離された7層のGaAs層(各々200nm厚)で作成された多層構造のSEM画像であり、斜視した画像(スケールバー20μm)である。 剥離の準備ができているAl0.9Ga0.1As層(各々100nm厚)によって分離された7層のGaAs層(各々200nm厚)で作成された多層構造のSEM画像であり、正面から見た画像(スケールバー2μm)である。 7層のGaAs層の同時剥離の後にPDMSスタンプ(1〜7と示されている)上に取り出された図37からの7層のGaAsの顕微鏡写真である。いかなる層もない清浄なドナーチップは、「ドナーチップ」として示されている。「8」と示されているスタンプは、殆どのGaAs構造がドナーチップ上に残っていないことを示している。 PDMSスタンプによって多層ドナー基板から剥離されたGaAs層の光学画像であり、スケールバーは1mmである。 PDMSスタンプによって多層ドナー基板から剥離されたGaAs層の光学画像であり、スケールバーは50μmである。
発明の詳細な説明
[0076]以下、図面を参照する。同様の数字は同様の要素を示し、一つより多い図に現れる同じ数字は同じ要素を指す。さらに、以下では、次の定義が適用される。
[0077]「転写可能な」又は「印刷可能な」は交換可能に使用されるものであり、基板上に、又は基板内に転写、アセンブリ、パターニング、編成及び/又は集積化を行うことができる材料、構造、デバイスコンポーネント及び/又は集積機能デバイスに関連する。一実施形態では、転写可能とは、例えば多層構造からデバイス基板又はデバイス基板に支持されたデバイス若しくはコンポーネントに対するように、ある基板から別の基板に対する構造又は要素の直接転写を指す。或いは、転写可能とは、構造又は要素をリフトオフし、続いて構造又は要素をデバイス基板又はデバイス基板上のコンポーネントに転写するスタンプといったように、中間基板を介して印刷される構造又は要素を指す。一実施形態では、印刷は高温(即ち、摂氏約400度以下の温度)に基板を晒すことなく行われる。本発明の一実施形態では、印刷可能又は転写可能な材料、要素、デバイスコンポーネント、及びデバイスは、基板上又は基板内に溶液印刷又は乾式転写接触印刷を介して転写、アセンブリ、パターニング、編成及び/又は集積化を行うことができる。同様に、「印刷」は、基板上又は基板内への転写、アセンブリ、パターニング、編成及び/又は集積化を指すように広義に使用される。ここで、基板とは、スタンプとして機能する基板又はそれ自体がターゲット(例えば、デバイス)基板である基板のようなものである。そのような直接転写印刷は、多層構造の機能最上層をデバイス基板に低コストで比較的簡単に繰り返し転写を可能とする。これにより、別個のスタンプ基板を必要とすることなく、例えばウェハからターゲット基板への一括転写(blanket transfer)が達成される。「ターゲット基板」は、転写構造を支持する所望の最終基板を指すように広義に使用される。一実施形態では、ターゲット基板はデバイス基板である。一実施形態では、ターゲット基板は、それ自体基板によって支持されるデバイスコンポーネント又は要素である。
[0078]本発明の「転写可能な半導体要素(素子)」は、例えば乾式転写接触印刷法及び/又は溶液印刷法によって基板表面上にアセンブリ及び/又は集積化することができる半導体構造を含む。一実施形態では、本発明の転写可能な半導体要素は単体の単結晶、多結晶、又は微晶質の無機半導体構造である。この説明では、単体の構造は機械的に接続されている特徴を有するモノリシック要素である。本発明の半導体要素はドープされなくてもよいしドープされていてもよいし、ドーパントの選択された空間分布を有していてもよく、P型ドーパント及びN型ドーパントを含めて複数の異なるドーパント材料でドープされていてもよい。本発明は、約1ミクロン以上の少なくとも一つの断面寸法を有するマイクロ構造の転写可能な半導体要素と、約1ミクロン以下の少なくとも一つの断面寸法を有するナノ構造の転写可能な半導体要素とを含む。多くの用途に有用な転写可能な半導体要素は、従来の高温処理技法を使用して生成された高純度結晶半導体ウェハのような高純度バルク材料の「トップダウン」処理に由来する要素を含む。一実施形態では、本発明の転写可能な半導体要素は、導電層、誘電体層、電極、追加の半導体構造、又はこれらの任意の組合せのような少なくとも一つの追加のデバイスコンポーネント又は構造に動作可能に接続された半導体を有する複合構造を含む。一実施形態では、本発明の転写可能な半導体要素は伸縮可能な半導体要素及び/又は異種半導体要素を含む。
[0079]「機能層」は、デバイス又はデバイスコンポーネントに組み込むことができ、そのデバイス又はデバイスコンポーネントに少なくとも部分的な機能性を与える層を指す。特定のデバイス又はデバイスコンポーネントに応じて、機能層は多様な組成を有する。例えば、太陽電池であるデバイスは、本明細書で提供するように、それ自体複数の別個の層から構成される機能層を含めて、III−V族マイクロ太陽電池の開始機能層から作成することができる。そのような層の剥離及び後続の印刷は、光起電力デバイス又はデバイスコンポーネントを構成する基盤を与える。対照的に、エレクトロニクス(MESFET)、LED、又は光システムに組み込むための機能層は、様々な層構成及び/又は組成を有することができる。したがって、多層構造に組み込まれる特定の機能層は、機能層が組み込まれることになる最終デバイス又はデバイスコンポーネントによって決まる。
[0080]「剥離層」(時には「犠牲層」と呼ばれる)は、一以上の機能層を少なくとも部分的に分離する層を指す。剥離層は除去することができ、又は例えば物理的、熱的、化学的及び/又は電磁気的な刺激に応じて物理的に分離する剥離層によって、機能層を多層構造の他の層から分離し易くする他の手段を提供することができる。したがって、実際の剥離層の組成は、分離が行われる手段と最も良く整合するように選択される。分離する手段は、例えば界面破損又は剥離層犠牲によるように、本技術分野で知られている任意の一以上の分離手段によるものである。剥離層は、多層構造の残りの部分に取り付けられたままである機能層又は多層構造の残りの部分から分離されている機能層のような機能層にそれ自体接続されたままのことがある。剥離層は任意にその後機能層から分離及び/又は除去される。
[0081]「基板によって支持された」とは、基板表面上に少なくとも部分的に存在するか、又は構造と基板表面との間に設けられた一以上の中間構造上に少なくとも部分的に存在する構造を指す。「基板によって支持された」という用語は、基板に部分的に又は完全に埋め込まれた構造を指すこともできる。
[0082]「溶液印刷」は、転写可能な半導体要素のような一以上の構造が、キャリア媒体に分散され、一斉に基板表面の選択された領域に送り出されるプロセスを指すものである。例示的な溶液印刷方法では、基板表面の選択された領域への構造の送出は、パターニングが行われる基板表面のモフォロジ及び/又は物性に依存しない方法よって達成される。本発明で使用可能な溶液印刷方法は、限定するものではないが、インクジェット印刷、熱転写印刷、及び毛管作用印刷を含む。
[0083]本方法において転写可能な半導体要素をアセンブリし、編成し及び/又は集積化する有用な接触印刷方法は、乾式転写接触印刷、マイクロ接触又はナノ接触印刷、マイクロ転写又はナノ転写印刷、及び自己アセンブリ支援印刷を含む。接触印刷の使用は、複数の転写可能な半導体が互いに相対的に選択された方位及び位置でアセンブリ及び集積化できるので、本発明において有益である。本発明の接触印刷は、半導体(例えば、無機半導体、単結晶半導体、有機半導体、カーボンナノ材料など)、誘電体、及び導体を含む各種多様な種類の材料及び構造の効果的な転写、アセンブリ、及び集積化も可能にする。本発明の接触印刷方法は、オプションとして、デバイス基板に予めパターン化された一以上のデバイスコンポーネントに対して予め選択された位置及び空間方位に、転写可能な半導体要素を高精度の位置合わせで転写及びアセンブリすることを可能とする。さらに、接触印刷は、広範囲の基板タイプに適合する。これら基板には、ガラス、セラミックス、及び金属のような従来の剛体又は半剛体の基板と、可撓性基板、曲げられる基板、成形できる基板、順応的な基板及び/又は伸縮可能な基板のような特定の用途に魅力的な物理的性質及び機械的性質を有する基板とを含む。転写可能な半導体構造の接触印刷アセンブリは、例えば低温処理(例えば298K以下)に適合する。この属性により、本光システムは、重合体基板及びプラスチック基板などの高温で分解又は劣化するものを含む一連の基板材料を使用して実施できるようになる。さらに、デバイス要素の接触印刷転写、アセンブリ、及び集積化は、ロール印刷及びフレキソ印刷方法及びシステムのような低コスト及び高生産性の印刷技法及びシステムによって実施できるので有益である。「接触印刷」は、例えばスタンプ表面から基板表面への形状の転写を容易とするスタンプを用いるような乾式転写接触印刷を広義に指す。一実施形態では、スタンプはエラストマースタンプである。或いは、転写は、ターゲット(例えばデバイス)基板に直接行うことができる。以下の参考文献は、接触印刷技法及び/又は溶液印刷技法によって転写可能な半導体要素を転写、アセンブリ、及び相互接続するために、本発明の方法で使用することができる自己アセンブリ技法に関連するものであり、これら文献を参照することにより本明細書に援用する。(1)「Guided molecular self−assembly:a review of recent efforts」、Jiyun C Huie、Smart Mater.Struct.(2003年)12巻、264〜271ページ、(2)「Large−Scale Hierarchical Organization of Nanowire Arrays for Integrated Nanosystems」、Whang,D.、Jin,S.、Wu,Y.、Lieber,C.M.、Nano Lett.(2003年)3(9)巻、1255〜1259ページ、(3)「Directed Assembly of One−Dimensional Nanostructures into Functional Networks」、Yu Huang、Xiangfeng Duan、Qingqiao Wei、Charles M.Lieber、Science(2001年)291巻、630〜633ページ、(4)「Electric−field assisted assembly and alignment of metallic nanowires」、Peter A.Smithら、Appl.Phys.Lett.(2000年)77(9)巻、1399〜1401ページ。
[0084]「キャリアフィルム」は、層の分離を容易にする材料を指す。キャリアフィルムは、除去されることが望まれる層に隣接して設けられた金属又は金属含有材料といった材料の層とすることができる。キャリアフィルムは、重合体材料又はフォトレジスト材料に組み込まれたか又は付けられたものを含む材料の複合物とすることができ、材料に加えられたリフトオフ力が下地層(例えば機能層など)から材料の複合物を剥離する。
[0085]「半導体」は、非常に低い温度では絶縁物であるが、約300ケルビンの温度で相当の導電性を有する材料である任意の材料を指す。本説明では、半導体という用語の使用は、マイクロエレクトロニクス及び電子デバイスの技術分野におけるこの用語の使用と一致するものである。本発明で有用な半導体は、シリコン、ゲルマニウム、及びダイヤモンドといった元素半導体と、SiC及びSiGeなどのIV族化合物半導体といった化合物半導体、AlSb、AlAs、Aln、AlP、BN、GaSb、GaAs、GaN、GaP、InSb、InAs、InN、及びInPといったIII−V族半導体、AlGa1−xAsといったIII−V族三元半導体合金、CsSe、CdS、CdTe、ZnO、ZnSe、ZnS、及びZnTeといったII−VI族半導体、CuClのI−VII族半導体、PbS、PbTe、及びSnSといったIV−VI族半導体、PbI、MoS、及びGaSeといった層半導体、CuO及びCuOといった酸化物半導体を含むことができる。半導体という用語は、所与の用途又はデバイスに有用な有益な電子的性質を提供するためにp型ドーピング材料及びn型ドーピング材料を有する半導体を含めて一以上の選択された材料でドープされる真性半導体及び外因性半導体を含む。半導体という用語は、半導体及び/又はドーパントの混合物を含む複合材料を含む。本発明の幾つかの用途に有用な特定の半導体材料は、限定するものではないが、Si、Ge、SiC、AlP、AlAs、AlSb、GaN、GaP、GaAs、GaSb、InP、InAs、GaSb、InP、InAs、InSb、ZnO、ZnSe、ZnTe、CdS、CdSe、ZnSe、ZnTe、CdS、CdSe、CdTe、HgS、PbS、PbSe、PbTe、AlGaAs、AlInAs、AlInP、GaAsP、GaInAs、GaInP、AlGaAsSb、AlGaInP、及びGaInAsPを含む。多孔質シリコン半導体材料は、センサ並びに発光ダイオード(LED)及び固体レーザといった光放出材料の分野における本発明の用途に有用である。半導体材料の不純物は、半導体材料自体以外の原子、元素、イオン及び/又は分子、或いは半導体材料に与えられる任意のドーパントである。不純物は、半導体材料の電子的特性に悪影響を与えることがある半導体材料中に存在する望ましくない材料であり、限定するものではないが、酸素、炭素、及び重金属を含む金属を含む。重金属不純物は、限定するものではないが、周期律表の銅と鉛との間の元素の族、カルシウム、ナトリウム、及びそれらのすべてのイオン、化合物及び/又は複合物を含む。
[0086]「誘電体」及び「誘電体材料」は本説明では同義的に使用され、電流の流れに高度に抵抗する物質を指す。有用な誘電体材料は、限定はするものではないが、SiO、Ta、TiO、ZrO、Y、Si、STO、BST、PLZT、PMN、及びPZTを含む。
[0087]「デバイス電界効果移動度」は、電子デバイスに対応する出力電流データを使用して計算されるような、トランジスタなどの電子デバイスの電界効果移動度を指す。
[0088]本発明は、以下の非限定の例によって更に理解することができる。本明細書に引用された参考文献を全て、本明細書の開示と矛盾しない範囲で参照することにより本明細書に援用する。本明細書の説明は多くの具体的要素を含んでいるが、これらは本発明の範囲を制限するものとして解釈されるべきでなく、本発明の現在の好ましい実施形態の幾つかの説明を単に提供するものとして解釈されるべきである。例えば、したがって、本発明の範囲は、与えられた例によってではなく添付の特許請求の範囲及びそれらの等価物によって決定されるべきである。
[0089]本発明の一態様は、多層処理を介した低コストの方法でデバイス又はデバイスコンポーネントに組み込むことができるFMEDを提供するものである。複数の機能層(FMED)20を有する多層構造10の一例を図1に示す。機能層20は、剥離層30によって隣接する機能層から分離される。複数の機能層20と剥離層30とが基板40上に支持されており、機能層20はそれ自体複数の層の複合物である。例えば、機能層20は、図示するようにIII−V族エピ層(例えば、pドープGaAs最上層21、低ドープGaAs中間層22、及びnドープGaAs下層23)を備え得るものであり、太陽電池に有用なものである。最下層は、Al0.9Ga0.1Asである剥離層30上に支持されている。この剥離層30は、ドープされていてもよく、ドープされていなくてもよい。剥離層30は、多層構造10中の一以上の機能層20へのアクセスを容易にする。
[0090]様々な種類の刺激による剥離の例には、一以上の埋め込まれた犠牲層のエッチング、溶解、焼損など(除去の任意の手段)による剥離(表1を参照)が含まれる。例えば、剥離層はFMEDよりも2倍以上速く選択的にエッチング/溶解/焼損/除去することができ、及び/又は構造若しくは層のマスキングを使用して、犠牲層の除去に使用される薬剤にFMEDを晒さないようにすることができる。剥離層は一度に一つ除去されるか、又は二つ以上の犠牲層が同時に除去される。
[0091]図2Aは、機能層20と剥離層30との間におけるように、機能層20の少なくとも一部を覆うマスク層410を有する多層構造10を示している。マスク層410は、マスク400によって覆われない残部を囲むマスク400のように、追加のマスク400を構成していてもよい。図2Aにおいては、剥離層30は犠牲層として示されており、機能層20は二つの層を有するFMEDとして示されている。マスク400及び410は、犠牲層30を除去するエッチャント手段から機能層20を保護するためのエッチストップ部として機能し得るものであり、それによって、基板40からの層20のリフトオフを容易とする。図2Bは、封入マスクを使用して転写可能なFMEDを多層構造から生成するプロセスを要約するフローチャートである(図2Bも参照)。
[0092]MESFETで使用に有用な構造を図2Cに示す。機能層20は、120nm厚のGaAsの第1の層21と、150nm厚のAlGaAsの半絶縁の第2の層22と、を含んでいる。剥離層30は、基板40からの剥離層30の分離を容易とする100nm厚のAl0.96Ga0.04障壁層である。
[0093]実施例1:光起電力素子、エレクトロニクス、及びLED用の転写可能な構造の剥離。
[0094]図3A、3Bは、多数の剥離層の同時除去(図3A)と、剥離層の層ごとの連続的除去(図3B)の方法及び構造を概略的に示している。図3Aでは、多層構造10の一部はエッチャント手段に晒され、それによって、エッチングされたアクセス通路35が形成される。通路35は、複数の剥離層30(この例では三つ)への同時アクセスを可能にする。このようにして、複数の転写可能な構造100が、デバイス基板又は基板によって支持されたデバイスコンポーネントのような注目する表面に本技術分野で公知の任意の手段(例えば、液体印刷、接触印刷など)によって印刷するのに使用可能となる。
[0095]図3Bは層ごとの除去を要約しており、エッチャントのアクセスチャネル35は一番上の機能層20だけに及んでおり、単一の機能層20だけが剥離されて、転写可能な構造100が一つの単独の機能層20から提供される。必要に応じて、機能層20は、剥離層30を除去するための化学的手段を導入する前にマスク(図示せず)によって保護されてもよい。このプロセスは、追加の機能層20ごとに繰り返される。図3に示す双方のプロセスでは、多層構造10が支持されていた母基板40を、再使用することができる。
[0096]様々なデバイス又はデバイスコンポーネントを作成するための様々な機能/剥離層の組成及び形状の幾つかの例を、図3C〜図3Eに示す。図3Cは、光起電力素子を作成するためのFMEDを有する構造の例を示しており、ここで、AlGaAsは剥離層である。図3Dは、エレクトロニクス(例えば、MESFET)を作成するためのFMEDを有する多層構造の例を示している。図3Eは、LEDを作成するためのFMEDを有する多層構造の例を示している。明確にするために、機能層20の15層の構造を表2に示す。図4、5は、複数の機能層を剥離するプロセスで使用される工程(図4)、又は機能層を層ごとに連続的に剥離するプロセスで使用される工程(図5)を要約している。
[0097]機能層は、埋め込まれた剥離層又は犠牲層のアンダーカッティング、エッチング、溶解、焼損等(任意の除去の手段)によるように、本技術分野で知られている任意の手段によって剥離される。機能層の剥離のための様々な方法が存在しており、これら方法は、様々な刺激を使用するものであり、その幾つかを表1に示す。表1は、使用される剥離方法に応じて、機能層及び剥離層の組成が選択され得ることを示している。犠牲層は、FMEDを構成する機能層よりも約2倍以上速く選択的にエッチング/溶解/焼損/除去される。オプションとして、マスク層400が、犠牲層の除去に使用される薬剤にFMED20を晒さないように、設けられる(図2Aを参照)。剥離層は、一度に一つ除去されてもよく、複数の剥離層が同時に除去されてもよい(図3Aと図3B、並びに図4と図5の流れ図を対比のこと)。
[0098]機能層の同時剥離を図3A及び図4で概説する。図4は、「一度に多層」による光起電力素子用FMEDの剥離を要約しており、これは、更なる転写可能なFMEDを続いて生成するための基板の任意の再使用を伴うものである。機能層は、エピタキシャル成長した半導体を含んでいる。このプロセスは、図12で説明するプロセスと同様にアモルファス又は多結晶の材料にも機能する。手短に言えば、GaAs基板を得る。例えば、MOCVD、MBEなど(トランジスタ、LEDそれぞれ用の図3D、図3Eと同様のプロセス)により、GaAs基板上に図3Cに示したエピ層を成長する。必要に応じて、成長の前に基板を前処理する(CMPが必要なことがある)。機能層及び犠牲層を堆積するか又はエピタキシャル成長する前に、基板に隣接するGaAsの約200nmの緩衝層を成長する。上部のエピ層の表面の一部を、プラズマ化学気相堆積(PECVD)及びある形態のパターニング用リソグラフィによってSiOでマスクすることができる。Cl/Ar/Hプラズマを使用して、表面から任意のAl0.96Ga0.04As犠牲層(例えば基板に最も近い犠牲層)の中へある距離までエピ層のマスクされていない領域をエッチングする。犠牲層は基板から最も遠い犠牲層とするべきではない(その場合、剥離は図3B及び図5で要約するような「一度に一つ」のプロセスとなることになる)。基板を高濃度HFに晒し、晒した犠牲層を少なくとも部分的に除去し、横方向アンダーカッティングによって犠牲層の上の機能エピ層を剥離する。(HFは、犠牲層に作用するよりも機能エピ層に低速で作用する(1/10未満のエッチング速度)。)基板から剥離されたFMEDをスタンピングによって分離するか、又は流体アセンブリ若しくはインクジェット印刷、エレクトロスピニングなどのために溶媒交換を行う。HFを使用して犠牲層の残部を全て除去し、上にある機能エピ層の残部(アンカー構造など)を全て洗い落とす/こすり落とす。前に除去された層の真下に本来あった機能層が露出され、基板の表面にある。マスキングとHF除去との間の工程を繰り返し、それによって、犠牲層が基板上に残らなくなるまで機能層の組(各組は犠牲層によって分離される)を剥離する。基板を任意に再使用するために、これらの工程が必要に応じて繰り返される。
[0099]単一の機能層の剥離を図3B及び図5で概説する。GaAsウェハといった基板が、図3Cで説明した機能層のようなエピ層の成長(MOCVD、MBEなどによる)のサポートを提供する。必要に応じて成長の前に、基板を前処理することができる(例えばCMP)。剥離層及び機能層の堆積又はエピタキシャル成長の前に、基板に隣接するGaAsの約200nmの緩衝層を成長させることが必要なことがある。プラズマ化学気相堆積(PECVD)及び任意の形態のパターニング用リソグラフィによって、SiOで上部エピ層の表面の一部をマスクする。Cl/Ar/Hプラズマを使用して、表面から第1のAl0.96Ga0.04As犠牲層の中へある距離までエピ層のマスクされていない領域をエッチングする。基板を高濃度HFに晒し、晒した犠牲層(一つ)を少なくとも部分的に除去し、横方向アンダーカッティングによって犠牲層の上のエピ層(機能層)を剥離する。(HFは、犠牲層に作用するよりも機能エピ層に低速で作用する(1/10未満のエッチング速度)。)
[00100]図3B、図3C、及び図5を参照すると、Cl/Arプラズマを使用して、表面からエピ層を通って基板の中へある距離までエピ層のマスクされていない領域をエッチングする。フォトレジストでエピ層の残部を封入し、上部表面及び側面を覆う。基板を水溶性クエン酸+Hに晒して、GaAs基板をエッチングし、横方向アンダーカッティングによって犠牲層の上の機能エピ層(機能層)を剥離する(湿式エッチング液は、GaAs基板に作用するよりも低速で障壁エピ層に作用し(1/10未満のエッチング速度)、機能GaAs層はそれを封入するフォトレジストによって湿式エッチング液から保護される)。図2において、AlGaAsエピ層は「マスク」400に対応し、フォトレジスト封入は「追加のマスク」410に対応する。
[00101]剥離されたFMEDのいずれもスタンピングによって基板から分離するか、又は流体アセンブリ又はインクジェット印刷、エレクトロスピニングなどのために溶媒交換を行うことができる。
[00102]剥離は、異方性エッチングのための方向性エッチング(例えばSi111、Si110)(「Bulk Quantities of Single Crystal Silicon Micro−/Nanoribbons Generated from Bulk Wafers」に関して2006年9月20日に出願された米国特許仮出願第60/826,354号、代理人参照番号(Atty.ref.no.)151−06Pを参照のこと。この仮出願を、本明細書と矛盾しない範囲で参照することにより、本明細書に援用する)及び/又はFMEDをエッチングから保護するためのマスク層によっても達成される。
[00103]実施例2:レーザアブレーションによる転写可能な構造の剥離。
[00104]他の剥離方法には、グラインディング/ポリッシング/エッチングによる母基板の除去による剥離、又は熱衝撃による(例えば、熱膨脹係数不整合による)剥離がある。剥離は、レーザ誘起加熱によって引き起こされるアブレーション/分解/化学反応のような埋め込まれた層のアブレーション/分解/化学反応によることもできる。図6Aは、レーザアブレーション剥離方法の概略図を示している。電磁放射は、例えば多層構造が存在する表面と反対の基板40の側に位置決めされたレーザによって、機能層20が支持されている基板であって少なくとも部分的に透明な基板40を通して導入される。レーザ誘起加熱は、機能層20と基板40との間の境界表面の破損によって、又は、レーザアブレーションに感度を有する剥離表面30の少なくとも部分的な除去によって、転写可能なFMED100の剥離を引き起こす。剥離表面30は、アブレーション生成物37によって示すように、構造100又は基板40の一方又は双方に部分的に付着したままとなることがある。それらの生成物37は、後に必要に応じて除去される。図6Bは、レーザアブレーションによってLED用FMEDを剥離するのに好適な基板の例である。基板40は、サファイア基板に対応する。図6Cは、レーザアブレーションによる、又は周囲条件で自然に起こるアブレーション/分解/化学反応によるLED用FMEDの剥離を要約している。図10は、様々な剥離信号(例えば電気及び/又は熱)による剥離層の選択的な除去によって多結晶/アモルファスFMED材料を剥離する基本方法を要約している。図12は、犠牲層の除去によるアモルファスFMED構造の剥離を要約している。
[00105]実施例3:誘起された界面クラックの伝播による転写可能な構造の剥離。
[00106]別の剥離メカニズムは、FMEDと母基板との間の界面にクラックを導入し、次に、クラックを伝播させるよう母基板から(例えば、ゴムスタンプを使用して)FMEDを引き離すことによるものである(図7Aを参照)。クラックは、機械的に(例えば切断による、図8B、図8C、及び図12を参照)、化学的に(例えばエッチングによる)(図7B及び図11を参照)、又は熱的に(例えば、熱膨張係数不整合により誘起される衝撃による)等のあらゆる方法で導入することができる。
[00107]オプションとして、転写可能な構造を剥離するための前述の手段のいずれもが、キャリア構造、例えば、カーボンナノチューブを印刷するためのキャリア構造のような金膜(Nature Nanotech、2巻、230ページを参照)などのキャリアフィルム(図8A)と任意に組み合わされる。このプロセスは、小さく(例えば約50nm未満、例えば分子、SWNTなど)、化学的に脆弱で、機械的に脆弱で、機械的に軟弱で、個別に作成するには非常に多く及び/又は扱いにくいFEMDに有効となり得る。図8B及び図8Cは、それぞれ、転写可能なFMEDを剥離するために基板とキャリアフィルムとの間に機械的にクラックを誘起するための構造及びプロセスの例を示す。
[00108]本明細書で説明する方法のいずれかによる剥離は、図9Aに示す(任意に図4及び図5で与えられる)ように、母基板40を再使用し、それによって、製造コストの節約を向上させるプロセスに任意に組み込まれる。
[00109]図19は、剥離層(犠牲層)の部分的除去であり、且つ一度に幾つかの剥離層の除去による機能層の部分的剥離の概略図である(図3Aも参照)。剥離層が部分的に除去された後、デバイスが基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り出しとによるデバイスの取り出しの際に生じる。この図は、多層スタックの再堆積用に基板を準備するためにアンカー構造を除去する工程も概説する。図19で概説したプロセスにより印刷可能なデバイスを産することができる多層構造の幾つかの例を図3C〜図3Eに示す。プロセスの詳細は図4で概説している。図4は、追加の転写可能なFMEDを続いて生成するために基板の任意の再使用を伴う、「一度に多層」による光起電力素子用FMEDの剥離を要約している。機能層はエピタキシャル成長した半導体を含む。このプロセスは、図12で説明したプロセスと同様に、アモルファス又は多結晶の材料にも機能する。手短に言えば、GaAs基板を得る。例えば、MOCVD、MBEなど(トランジスタ、LEDそれぞれ用の図3D、図3Eと同様のプロセス)により、GaAs基板上に図3Cに示したエピ層を成長する。必要に応じて成長の前に、基板を前処理する(CMPが必要となることもある)。機能層及び犠牲層を堆積するか又はエピタキシャルで成長する前に、基板に隣接してGaAsの約200nmの緩衝層を成長する。上部のエピ層の表面の一部は、プラズマ化学気相堆積(PECVD)及びある形態のパターニング用リソグラフィによってSiOでマスクすることができる。Cl/Ar/Hプラズマを使用して、表面から任意のAl0.96Ga0.04As犠牲層(例えば基板に最も近い犠牲層)の中へある距離までエピ層のマスクされていない領域をエッチングする。犠牲層は、基板から最も遠い犠牲層とするべきでない(その場合、剥離は図3B及び図5で要約したような「一度に一つ」のプロセスとなることになる)。基板を高濃度HFに晒し、晒された犠牲層を少なくとも部分的に除去し、横方向アンダーカッティングによって犠牲層の上の機能エピ層を剥離する。(HFは、犠牲層に作用するよりも機能エピ層に低速で作用する(1/10未満のエッチング速度)。)基板から剥離されたFMEDをスタンピングによって分離するか、又は流体アセンブリ若しくはインクジェット印刷、エレクトロスピニングなどのために溶媒交換を行う。犠牲層の残部を全て除去するためにHFを使用し、上にある機能エピ層の残部(アンカー構造など)をすべて洗い落とす/こすり落とす。前に除去された層の真下に本来あった機能層が露出され基板の表面にある。マスキングとHF除去との間の工程を繰り返し、それによって、犠牲層が基板上に残らなくなるまで機能層の組(各組は犠牲層によって分離される)を剥離する。基板を任意に再使用するために、これらの工程は必要に応じて繰り返される。
[00110]図20は、剥離層(犠牲層)の部分的除去であり、且つ一度に一つの剥離層の除去による機能層の部分的剥離の概略図である(図3Bも参照)。剥離層が部分的に除去された後、デバイスが基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り出しとによるデバイスの取り出しの際に生じる。この図は、「一度に1層剥離プロセス」(図3Bにおけるように)の繰り返しに向けて基板を準備するために、及び多層スタックの再堆積に向けて基板を準備するために、アンカー構造を除去する工程も概説する。本明細書で概説したプロセスにより印刷可能なデバイスを産することができる多層構造の幾つかの例を図3C〜図3Eに示す。プロセスの詳細は図5で概説する。GaAsウェハなどの基板は、MOCVD、MBEなどによる図3Cで説明した機能層などのエピ層の成長のサポートを提供する。基板は、必要に応じて成長の前に、前処理を行うことができる(例えばCMP)。剥離層及び機能層の堆積又はエピタキシャル成長の前に基板に隣接するGaAsの約200nmの緩衝層を成長する必要なことがある。プラズマ支援化学気相堆積(PECVD)及び任意の形態のパターニング用リソグラフィによって、SiOで上部エピ層の表面の一部をマスクする。Cl/Ar/Hプラズマを使用して、表面から第1のAl0.96Ga0.04As犠牲層の中へある距離までエピ層のマスクされていない領域をエッチングする。基板を高濃度HFに晒し、晒した犠牲層(一つ)を少なくとも部分的に除去し、横方向アンダーカッティングによって犠牲層の上のエピ層(機能層)を剥離する。(HFは、犠牲層に作用するよりも機能エピ層に低速で作用する(1/10未満のエッチング速度)。)基板から剥離されたFMEDをスタンピングによって分離するか、又は流体アセンブリ若しくはインクジェット印刷、エレクトロスピニングなどのために溶媒交換を行う。マスキングとHF除去との間の工程を繰り返し、それによって、犠牲層が基板上に残らなくなるまで機能層を剥離する。基板を任意に再使用するために、これらの工程は必要に応じて繰り返される。
[00111]図21は、横方向エッチストップ部又はアンカーポストを使用する、一度に幾つかの剥離層(犠牲層)を除去することによる機能層の部分的剥離の概略図である(図3Aも参照)。剥離層が除去された後、デバイスが横方向エッチストップ部/アンカーポストによって基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り出しとによるデバイスの切り離しの際に生じる。この図は、多層スタックの再堆積に向けて基板を準備するために横方向エッチストップ部/アンカーポストを除去する工程も概説する。本明細書で概説したプロセスにより印刷可能なデバイスを産することができる多層構造の幾つかの例を図3C〜図3Eに示す。プロセスの詳細を以下に概説する。機能層はエピタキシャル成長した半導体を含む。このプロセスは、図12で説明するプロセスと同様にアモルファス又は多結晶の材料にも機能する。手短に言えば、GaAs基板を得る。例えば、MOCVD、MBEなど(トランジスタ、LEDそれぞれ用の図3D、図3Eと同様のプロセス)により、GaAs基板上に図3Cに示したエピ層を成長する。必要に応じて成長の前に、基板を前処理する(CMPが必要なこともある)。機能層及び犠牲層を堆積するか又はエピタキシャル成長する前に、基板に隣接してGaAsの約200nmの緩衝層を成長する。上部のエピ層の表面の一部は、プラズマ化学気相堆積(PECVD)及びある形態のパターニング用リソグラフィによってSiOでマスクすることができる。Cl/Ar/Hプラズマを使用して、表面から任意のAl0.96Ga0.04As犠牲層(例えば基板に最も近い犠牲層)の中へある距離までエピ層のマスクされていない領域をエッチングする。犠牲層は基板から最も遠い犠牲層とするべきでない(その場合、剥離は図3B及び図5で要約されたような「一度に一つ」のプロセスとなることになる)。低圧化学気相堆積によって窒化ケイ素のコンフォーマルコーティングを堆積する。横方向エッチストップ部/アンカーポストを形成するために、例えば、フォトリソグラフィ及びフッ素プラズマを使用するエッチングによって、窒化ケイ素をパターン化する。基板を高濃度HFに晒し、晒した犠牲層を少なくとも部分的に除去し、横方向アンダーカッティングによって犠牲層の上の機能エピ層を剥離する。(HFは、犠牲層に作用するよりも機能エピ層に低速で作用する(1/10未満のエッチング速度)。)基板から剥離されたFMEDをスタンピングによって分離するか、又は流体アセンブリ若しくはインクジェット印刷、エレクトロスピニングなどのために溶媒交換を行う。HFを使用して犠牲層の残部を全て除去する。フッ素プラズマを使用して窒化ケイ素を除去し、上にある機能エピ層の残部(アンカー構造など)を全て洗い落とす/こすり落とす。前に除去された層の真下に本来あった機能層がクリーンな状態で露出され基板の表面に現れるまで、HF、フッ素プラズマ、及び洗浄を繰り返す。マスキングとクリーニングとの間の工程を繰り返し、それによって、犠牲層が基板上に残らなくなるまで機能層の組(各組は犠牲層によって分離される)を剥離する。基板を任意に再使用するために、これらの工程は必要に応じて繰り返される。
[00112]図22は、一度に一つの剥離層(犠牲層)を除去することによる機能層の部分的剥離の概略図(図3Bも参照)であり、横方向エッチストップ部又はアンカーポストを使用するものである。剥離層が除去された後、デバイスが横方向エッチストップ部/アンカーポストによって基板につながれたままであるので、この剥離は「部分的」と呼ばれる。デバイスの完全な剥離又は分離は、例えば係留構造の破壊とエラストマースタンプを使用する取り出しとによるデバイスの切り離しの際に生じる。この図は、「一度に1層剥離プロセス」(図3Bにおけるように)を繰り返すために、また、多層スタックの再堆積に向けて基板を準備するために、横方向エッチストップ部/アンカーポストを除去する工程も概説する。図22で概説したプロセスにより印刷可能なデバイスを産することができる多層構造の幾つかの例を図3C〜図3Eに示す。プロセスの詳細は以下の通りである。GaAsウェハなどの基板は、MOCVD、MBEなどによる図3Cで説明した機能層などのエピ層の成長のサポートを提供する。基板は、必要に応じて成長の前に、前処理を行うことができる(例えばCMP)。剥離層及び機能層の堆積又はエピタキシャル成長の前に、基板に隣接して、GaAsの約200nmの緩衝層を成長させることが必要なこともある。プラズマ支援化学気相堆積(PECVD)及び任意の形態のパターニング用リソグラフィによって、SiOで上部エピ層の表面の一部をマスクする。Cl/Ar/Hプラズマを使用して、表面から第1のAl0.96Ga0.04As犠牲層の中へある距離までエピ層のマスクされていない領域をエッチングする。低圧化学気相堆積によって窒化ケイ素のコンフォーマルコーティングを堆積する。横方向エッチストップ部/アンカーポストを形成するために、例えば、フォトリソグラフィ及びフッ素プラズマを使用するエッチングによって窒化ケイ素をパターン化する。基板を高濃度HFに晒し、晒した犠牲層(一つ)を少なくとも部分的に除去し、横方向アンダーカッティングによって犠牲層の上のエピ層(機能層)を剥離する。(HFは、犠牲層に作用するよりも機能エピ層に低速で作用する(1/10未満のエッチング速度)。)基板から剥離されたFMEDをスタンピングによって分離するか、又は流体アセンブリ若しくはインクジェット印刷、エレクトロスピニングなどのために溶媒交換を行う。HFを使用して犠牲層の残部を全て除去する。フッ素プラズマを使用して窒化ケイ素を除去し、上にある機能エピ層の残部(アンカー構造など)を全て洗い落とす/こすり落とす。第1の犠牲層の真下に本来あった機能層がクリーンな状態で露出され基板の表面に現れるまで、HF、フッ素プラズマ、及び洗浄を繰り返す。マスキングとHF除去との間の工程を繰り返し、それによって、犠牲層が基板上に残らなくなるまで機能層を剥離する。基板を任意に再使用するために、これらの工程は必要に応じて繰り返される。
[00113]図23は、機能層が図20で説明したプロセスと同様のプロセスによって部分的に剥離された後の反静摩擦層又は活性化層をもつ機能層の後の剥離処理の概略図である。反静摩擦層又は活性化層は、自己組織化単分子層(SAM)であることが多く、剥離された層と下地の層との間の接着を防止するか(付着防止)、又は剥離された層とある他の要素(例えばエラストマースタンプ、ナノ粒子、生物学的要素など)との間の接着を促進する(活性化)よう機能する。図23で概説するプロセスを適用することができるシステムの例を図3Dに示す。デバイスの形成(例えば、フォトリソグラフィ及び塩素プラズマエッチングによる)及びHFによるAlGaAs剥離層の部分的除去の後、有機チオール末端分子のエタノール性溶液を使用して、露出したGaAs表面を処理することができる。付着防止のために、この分子はアルカンチオール、例えばヘキサデカンチオール、又はペルフルオロアルカンチオールとすることができる。活性化のために、チオールは、反応性化学基、例えばオクタンジチオールによってさらに終端することができる。
[00114]図35、36は、異種アンカー方策(例えば図21を参照)によるように、特定の位置でアンカー構造から離脱する転写可能な構造の生成を更に改善するアンカー方策の更なる例を示している。特に、同種アンカリング(例えば図20)に対する異種アンカリングの利点には、破壊可能な係留ポイントを設計する際の柔軟性、転写制御の向上、及び転写位置合わせ性能の改善がある。さらに、様々な固定プロセスによって、ウェハ基板面積のより効率的な使用が可能となる。パターン化された犠牲領域により、転写可能な構造が特定の位置でアンカー構造から確実に離脱するという機能が得られる。さらに、パターン化された犠牲領域の増加によって面積適用範囲が増加する。例えば、アンカー構造は、犠牲層を除去する薬剤によってアンダーカットされないので、転写可能な構造の切り取り部ほど広い必要がない。図36は、図35で概説したアンカーの概念を示しており、その実施の例、即ち、略透明な金のメッシュをシリコンウェハからプラスチックに印刷する例である。
[00115]本明細書で開示したプロセスは、特に、多層デバイスから基板又は基板によって支持されたコンポーネントに構造を高生産性で印刷するのに適しており、それによって、製造時間及びコストを減少させる。例えば、図37は7層構造のSEMであり、隣接するGaAs層はAl0.9Ga0.1As層によって分離されている。エピ構造は、リン酸及び過酸化水素でエッチングされる。多層マイクロ構造は、フォトリソグラフィと湿式エッチングとによってGaAs基板上のエピ層から加工される。
[00116]図38は、7層のGaAs層の同時剥離の後にPDMSスタンプ上に取り出された7層のGaAs層の写真である。同様の取り出しは、本明細書で開示するように、層ごとの個々の剥離でも見込まれる。手短に言えば、剥離手順は、S1802フォトレジストでエピ構造をマスクすることを必要とする。マスクされた構造は、HPO:H:DI、1:13:12で1分間エッチングされる。フォトレジストはアセトンで取り除かれ、続いて剥離層の49%HFでの35秒間の化学除去が行われ、Nでの乾燥リンスが行われる。層は、PDMSスタンプを使用して連続して剥離される(図38において1から8で示されている)。8番目のスタンプを使用して「残存物」が調べられる。図39は、PDMSスタンプの表面上の多層ドナー基板から剥離されたGaAs層の光学画像を示している。これらの層は、デバイス基板に、又はコンポーネント若しくはデバイス基板に印刷するための準備が整っている。
[00117]実施例4:バルクウェハから生成された大量の単結晶シリコンのマイクロリボン/ナノリボン。
[00118]この実施例は、バルクシリコン(111)ウェハから大量の高品質で寸法的に均一な単結晶シリコンのマイクロリボン及びナノリボンを生成する方策を示す。このプロセスは、マスク材料の傾斜蒸着及びシリコンの異方性湿式エッチングと共に、側壁上に形成された波形構造であって制御された波形構造をもつエッチングされたトレンチを使用して、ウェハの表面全体にわたって、均一な厚さを有し、また、リソグラフィで画定された長さと幅を有するリボンの多層スタックを生成する。数十ナノメートルと数百ナノメートルとの間の厚さ、マイクロメートル範囲の幅、及び数センチメートルまでの長さを有するリボンが、大量にこの手法を使用して生産される。印刷プロセスにより、そのようなリボンの編成されたアレイを様々な他の基板に層ごとに転写できる。良好な電気的性質(移動度:約190cm−1−1、オン/オフ:>10)が、プラスチック基板上に形成された薄膜タイプトランジスタでこれらのリボンにより達成され、それによって、用途の候補の一分野を実証することができる。
[00119]ワイヤ、リボン、及び粒子の形態の単結晶シリコンのナノ構造要素は、エレクトロニクス、オプトエレクトロニクス、センシング、及び他の分野の多くの用途で注目されるものである。リボン形状は、例えば、化学センシング及び光検出用の大きい平面、並びにトランジスタのチャネル領域を効率的に充填することができる形状を提供するので、幾つかのデバイスにとって重要である。シリコンナノワイヤに使用される十分に開発された化学的合成手法に関連する成長技法が、Siナノリボンの生成に適合されて適用されており、成功している。しかしながら、酸化物(ZnO、SnO、Ga、Fe、In、CdO、PbOなど)、硫化物(CdS、ZnS)、窒化物(GaN)、及びセレン化物(CdSe、ZnSe、SbSeなどの材料に対して、これらの手順及び同様のものによって提供されるリボンの寸法制御及び歩留りのレベルはあまり高くない。対照的に、半導体ウェハの上部表面のリソグラフィの処理に依拠する手法は、十分に制御された厚さ、幅、長さ、結晶性、及びドーピングレベルを可能にする。これらの方法は、Si、SiGe、二層化Si/SiGe、GaAs、GaNなどからなるマイクロメートルからナノメートル範囲の厚さをもつ膜、チューブ、及びリボンを形成することができる7〜12。さらに、様々なプロセスが、編成されたアレイのこれらの要素をデバイス集積化用の他の基板に転写することができる。この「トップダウン」手法は、成長技法と比較して三つの主要な欠点を有する。第1に、約100nm未満の幅をもつ要素は、リソグラフィの実用的限界に起因して、作成することが困難である。第2に、薄膜又はバルクウェハの形態で成長できる材料しか使用することができない。第3に、多くの用途にとって最も重要であるが、大量のマイクロ構造/ナノ構造を生産するには、多くのウェハを必要とし、その各々は高価となり得る。第1の欠点は、そのような小さい寸法をもつ構造を必要としない多くの用途では無関係である。第2の欠点は、シリコンを含む多くの重要な材料には当然当てはまらない。この実施例は、第3の限界に対処する成果を提供する。特に、この実施例は、単一の処理シーケンスで、標準バルクSiウェハから、数十ナノメートルに低減した厚さをもつ多数の高品質Siリボンを生成する簡単な方法を導入する。手短に言えば、この手法は、良好に形成された波形の側壁モフォロジをもつトレンチを生成するために、エッチングマスクによるシリコンウェハの制御されたディープ反応性イオンエッチングで始まる。ある角度でこれらの波形上に堆積される金属の平行なフラックスが、分離した金属ラインを生成し、当該金属ラインがウェハの表面と平行な平面に沿ったシリコンの高度異方性湿式エッチング用のマスクとして機能する。この単一のエッチング工程は、多層スタック形状において大量のシリコンリボンを生成する。これらのリボンは、トランジスタなどのデバイスへの集積化のために、リソグラフィで画定された空間的順序を維持するかしないかに関わらず、ウェハから取り出され、所望の基板上に溶液キャスト又は乾式転写印刷を行うことができる。この手法は標準クリーンルーム処理装置にのみ依拠する。その結果、シリコンのマイクロ構造/ナノ構造に関心をもっているが、直接合成技法を使用して多量にマイクロ構造/ナノ構造を生成するのに必要な専用の成長チャンバ及び製法をもたない研究者にとって有用となり得る。
[00120]図25は、製造シーケンスの概略図を示している。第1の工程において、1100℃で2時間の乾式熱酸化によりウェハの表面上にSiOの薄い(約150nm)層を生成した。接着促進剤の1,1,1,3,3,3−ヘキサメチルジシラザン(HMDS、Acros Organics)を被覆した後、接触モードリソグラフィ(Shipley1805フォトレジスト(PR)及びMF−26A現像液)とそれに続く110℃で5分間のアニーリングによりPRマスクを形成した。緩衝酸化物エッチング液(BOE、Transene Co.)の溶液中で1分30秒間湿式エッチングを行い、アセトン中で残留PRのクリーニングを行ってウェハ上にSiOのラインを生成した。これらのラインは、シリコンの誘導結合プラズマ反応性イオンエッチング(STS−ICPRIE、STS Mesc Multiplex Advanced Silicon Etcher)用のマスク層を与えた。これらラインは、図25に示すように<110>方向に対して垂直に向けられており、エッチングされたトレンチの側壁は{110}面を露出した。ICPRIEツールは、シリコンのエッチングとエッチングに対して側壁を保護するためのフッ素ポリマーの堆積との交互の繰り返しを使用することによって、高いアスペクト比の構造と平坦で垂直な側壁を生成するように主に設計されている13。発明者らは、代わりに、ガス流量、電極パワー、チャンバ圧力、及びエッチングサイクル期間を適切に制御することによって、これらの側壁中に起伏をもった良好に制御された波形構造を形作るようにプロセスサイクルを変更した。周期と振幅がそれぞれ80nmから1.5μmの範囲と50〜450nmの範囲にある波形を、処理領域(4インチのウェハサイズ)にわたって再現可能で均一に達成することができた。例えば、それぞれ540nmと130nmの周期と振幅を生成したパラメータは以下の通りであった。ガス流は、エッチングに対してO/SF=13/130sccm(STPで1分当たり1立方センチメートル)と、堆積に対してC=110sccmであり、ガス圧は94mTorrであり、エッチングパワーは、誘導結合プラズマ(ICP)/プラテン(P)に対して600/12Wであり、堆積パワーは、ICP/Pに対して600/0Wであり、エッチング期間は7秒であり、堆積期間は5秒であった。堆積サイクル間のエッチング条件がこれらの波形構造を画成する。SF/O混合物は略等方性エッチングを与えるので、波形の振幅と周期とが関連づけられる。最も小さい波形構造は50nmの振幅で80nmの周期を有し、最も大きい波形は1.5μmの周期と450nmの振幅を有する。エッチングされたサンプルを100℃のNHOH/H/HO=1:1:5に10分間浸して、側壁上のフッ素重合体を除去した。サンプルをBOE溶液に2分間漬け、続いて脱イオン水でのリンスにより残留SiO層を除去した。次に、平行なフラックスを用いたCr/Au(3/47nm)の傾斜電子ビーム蒸着(ウェハの垂直軸から15°)により、オーバハングの隆起に伴う陰影の結果、波形の全てについて上部領域でなく下部領域に沿って物理的なエッチングマスクを形成した。蒸着角度が、この陰影の範囲を制御する。KOH溶液(PSE−200、Transene Co.、110℃)による異方性湿式化学エッチングによって、露出したSiの全ての領域で側壁に沿って始まり、<110>方向に沿ってSiが除去された。{110}面は{111}面よりも原子の密度が低く、ダングリングボンドの密度が高いので、{110}面に沿ったKOHのエッチング速度は{111}面に沿ったエッチング速度よりも、最大で数百倍まで、非常に速い14。その結果、このエッチングは、ウェハの表面と平行な方向に、各トレンチの一方の側面から隣接する側面まで完全に進行し、それによって、傾斜蒸着と波形構造(即ち周期と振幅)とによって決定された厚さをもつ個々のリボンの多層スタックが剥離された。KI/I(水溶液)溶液(2.67/0.67重量%)でCr/Auを除去し、HCl/H/HO=1:1:1(体積で)とHF(水溶液)でさらにクリーニングして、作成を完了した。超音波処理により溶液(例えばCHOH)中へとリボンを剥離して、他の基板上に成型するためにそれらを準備した。
[00121]デバイスにこれらの要素を集積化し易くするために、リソグラフィで画成されたアライメント及び位置を維持することが有益である。このために、発明者らは、KOHによる完全なアンダーカットエッチングの後でさえ、各リボンの端部がSiウェハにアンカーされたままであるように、SiOラインでの破損(幅=10〜20μm)を導入した。ポリジメチルシロキサン(PDMS)のエラストマー要素を使用するソフト印刷技法により、そのようなアンカーされたSiリボンの組織されたアレイ7、15を、転写のための供給源ウェハからターゲット基板まで一度に1層ずつリフトアップすることができる。図17は、可撓性プラスチック基板に適用されるようなこのプロセスを概略的に示している。次第により下方のSiリボン層に接触できるようにPDMSに軽い圧力を加え、Siリボン層を迅速に剥がすことにより、最も高い転写効率(第3層まで約90%よりも高い)でリボンアレイを剥離した15。小さい圧力を使用すると、共形接触が可能となり、同時にリボンの破損及び/又は歪曲を避けることができる。この手法では、リボンはファンデルワールス相互作用によってPDMSに付着し、その相互作用は、リボンの長さに沿って集積化されるので、剥がし戻す際にリボンアンカーを破壊するのに十分な強さがある。Siリボン被覆されたスタンプを薄いスピンキャスト接着層(厚さ=135nm、SU−8、Microchem)をもつ基板(厚さ=0.2mm、PET、Delta Technologies)に接触させ、70℃で1分間加熱して、リボンと基板との間に強い接合を生成した。PDMSを剥がして、PDMSからリボンを取り除いた。接着(光重合体)層を紫外光(λ=365nm、13mW/cm、10秒)に多量に感光させ、更に加熱して(120℃、5分)、リボンと基板との間の接着を強化した。リボンの単一のウェハ源による転写印刷を多数繰り返すと、図17に示されたようなプラスチック又は他の基板上に大きい面積適用範囲(ウェハと比較して)を生成することができる。
[00122]図26は、図25に示したプロセスの様々な段階のSi(111)ウェハ(Montco,Inc.、n型、1〜10Ωcm)の走査電子顕微鏡(SEM)画像を示している。図26の部分g及びhに対応する中間処理状態の厚さは100±10nmであった。完全に剥離されたリボンは、KOHエッチング液に長く晒したため、80±15nmの厚さを有していた。ICPRIEにおけるSiOマスクの下のわずかなアンダーカットのために、他のリボンよりも多少薄い(この場合約10nmだけ)一番上のリボンを除いて、厚さの均一性は所与の多層のスタックで並びにウェハにわたって優れている。リボンの長さ及び幅は、従来の接触モードフォトリソグラフィを使用することによって、±120nmの変動内で均一である。3〜5μmの厚さ、幅、及び数センチメートルに達する長さのこの範囲では、リボンが完全にアンダーカットされるまで、リボンはKOHエッチングの間に崩壊して互いに接触することはなかった。側壁の波形の振幅と周期を変えることによって、80nmと300nmとの間の厚さをウェハの端から端まで均一に達成することができた。個々のリボンの厚さの変動は、信頼性を達成することができる最も小さい厚さを画定する。これらの変動は、四つの主要な原因を有する。最初の二つはSiOマスクの縁部及び波形の側壁上の粗さであり、それらは共に直接に厚さの変動になる。第3に、傾斜蒸着された金属マスクの粒子構造が同様の影響を引き起こすことがある。第4に、ICPRIEエッチングされたトレンチのSi{110}面からのわずかなミスアライメント、及びKOHエッチング浴槽の不均質性(即ち、局所温度及び濃度)も変動になることがある16。これらの要因のために、確実に達成できる最も小さいリボン厚さの実用上の限界はここで説明した手順では約80nmである。標準接触モードフォトリソグラフィツールを使用して、約1μm程度の小さい幅が可能である。リソグラフィ(例えば、電子ビーム又はインプリント印刷リソグラフィの使用)、エッチング(例えば、温度制御型ICPRIE)、及び堆積(例えば、金属レジストラインのより小さい粒子サイズ)を組み合わせた改善により、実質的に(即ち、1/2以下に)これらの最小寸法を低減することができた。このプロセスに関連する別の限界は幅と厚さの比であり、約60より大きい比は、有限角度の異方性などのKOHエッチングに関連するアスペクト並びにリボンの機械的崩壊及び/又はアンダーカットを完了する前の金属マスクラインの層剥離のために、達成することが困難である。
[00123]図27は、超音波処理によってウェハからリボンを剥離した後、スライドガラス上に溶液から堆積したこれらのリボンの集合を示す。これらのリボンの幅及び長さの均一性は高い(変動=±120nm)。ここで示した約6×10のリボン(厚さ=250nm、幅=3μm、長さ=約1.5cm)は、1.5×1.5cmの区域から集められたが、このサンプルは0.16mgの質量をもつ90mのリボンを意味する。実験データの示唆によれば、150mmまでの直径を有するウェハで、10層までもプロセスを拡大することが容易に可能である。この場合、単一の処理シーケンス(図25)は32mgのリボンを生成するであろう。この場合、金属マスク層用に均一な堆積角度を達成するために、大きい基板は注意を必要とすることに留意することが重要である。ここで報告した調査で使用されたものなどの典型的な蒸発システムでは、堆積角度の変動は、8mm、15mm、及び150mmの基板直径に対してそれぞれ0.72°、1.36°、及び13.8°である。供給源と基板との間の距離を増大させること又は他の容易に実施できる方策により、これらの変動を実質的に低減することができる。
[00124]図27に示したリボンに存在するハイレベルの無秩序は、デバイス集積化に適する良好に形成された構成を達成する必要性を際立たせている。図17に示したアンカー手法は一つの可能性を示しており、リボンのリソグラフィで画定されたアライメント及び方位が作成及び集積化プロセスの全体を通して維持される。図28は、ウェハに端部を固定したリボン(幅=4μm、長さ=190μm、厚さ=約250nm)であって位置合わせされた4層スタックのリボンをもつSiチップ(全パターンサイズ:8×8mm)の画像を示している。図28aの光学顕微鏡写真は、1.5×10のリボンを示している。走査型電子顕微鏡写真は、アンカー及びエッチング面(図28bから図28e)を際立たせている。KOHエッチングの前線は<110>方向に前進するが、図28eで分かるように、前線は{111}面(即ち、最も遅いエッチング面)で終了し、構造は、二つの{111}面が交差するポイントで出会う三角形形状のアンカーに漸減する。ソフト印刷プロセスは、図17の手順を使用して、他の基板上に、一度に1層ずつこれらのリボンを転写することができる。図29aは、最上層からPDMS基板上に転写されたSiリボンアレイ(厚さ=235nm、幅=4.8μm、長さ=190μm)の例を示している。前述した要因に起因する厚さの変動は、図29aの光学画像における色変動、図29bのテーパー状厚さプロファイル、及びリボンが非常に薄い(例えば40nm未満)場合の不連続として現れる。原子間力顕微鏡(AFM)画像は、リボンの表面に十分に分離された段差又は10nmに達する高さをもつテラス)を示している。これらの段差を含まない区域(1×1μm)の表面粗さは、これらの三叉を含む同様のサイズの区域の約3nmと比較して、約0.6nmである。同様の構造は、KOHによってエッチングされたSi(111)ウェハの表面上で観察された15。そのような構造は、光学画像にある色変動を引き起こす。0.6nmの粗さ値は、シリコン・オン・インシュレータ(SOI)基板(0.18nm)から生成された構造のウェハの研磨された上部表面の粗さ値(0.12nm)、又はSiウェハの上部表面(0.5nm)から生成されたリボンの粗さ値よりも多少大きい。前述のように、この粗さは厚さの変動を決定するのと同じ現象に由来する。典型的なリボンに沿った厚さの変動は約±15nmであった。所与のアレイのリボンの平均厚さにおける変動は約±3nmであった。図29cは、単一の処理されたSiウェハを使用して、ITO被覆されたPET基板上に4サイクルの印刷によって形成されたリボンアレイの四つの区域を示している。印刷されたリボンの歩留りは、第1層に対して98%、第2層に対して94%、第3層に対して88%、第4層に対して74%であった。第4層に対する低い歩留りは、主として、ウェハからPDMSへの不完全な転写に起因する。上部層の不完全な転写がウェハ上に部分的に引き離されたリボンを残し、それが後続の印刷サイクルを妨げることがある。
[00125]エレクトロニクスにおける印刷されたリボンアレイの可能な一用途を実証するために、発明者らは電界効果トランジスタを作成した(図30a、30b)。基板はポリイミド(PI、厚さ=25μm)であり、ゲート電極はCr/Au(厚さ=3/40nm)であり、ゲート誘電体は図17の手順からSiO(厚さ=170nm)の層及びSU−8の接着剤被覆で構成された。AFMによって測定されたように、転写されたSiリボンアレイはSU−8中に約35nm沈み、Siリボンの底面とSiOゲート誘電体との間に100nmの残留SU−8を残した。厚い電極パッド(Ti、250nm)は、フォトリソグラフィによって形成され(100μm長×100μm幅、10個のSiリボンの測定により)、Tiエッチング液(TFTN、Transene Co.)による湿式エッチングは、ソース及びドレイン用のショトキー障壁コンタクトを形成した。これらのボトムゲートデバイスは、同様の処理条件を使用してSOIウェハ上に形成された同様のデバイスと一致して、n型エンハンスメントモードゲート変調(図30c、30d)を示した。トランジスタは、約3×10のオン/オフ比を示した。リボン移動度(充填比35%)当たりの線形領域は、第1層について190cm−1−1、また、第2層について130cm−1−1に対応する。これらの値は、発明者らが、SOIウェハを使用して、さもなければ同様のデバイス処理工程を使用して得たものよりも多少低い7、11。発明者らは、ここで使用したリボンより大きい粗さが、部分的にこの差異の原因であると推測する。さらに、Si−SiO界面では、(111)面の界面電荷密度は(100)面の界面電荷密度の約10倍の大きさであることがよく知られており、水素中のアニールによりこの値を著しく低減することができる17
[00126]要約すると、この実施例は、バルクシリコン(111)ウェハから大量の単結晶シリコンのマイクロリボン/ナノリボンを生成する簡単な作成方策を実証している。この手法によって生成された多層スタック中の各層は、トランジスタなどのデバイスへの集積化のために、他の基板上に別々に転写印刷することができる。手順の簡単さ、デバイス用に組織化されたアレイを形成する能力、材料の高品質、並びに電子回路に加えてセンサ、光検出器、及び恐らく光起電力素子などの他のデバイス可能性の潜在能力は、シリコンリボンへのこのタイプの手法の潜在的価値を示唆している。
[00127]様々なSTS−ICPRIE条件による様々な側壁及び様々な厚さをもつシリコンリボンの顕微鏡写真、陰影マスクの広がり対電子ビーム蒸発の角度、並びに7層SiリボンとEDAXエネルギー分散分光(EDS)調査からのスペクトルを図31〜図34に示す。
[00128]参考文献
[00129](1)(a) Wagner, R. S.; Ellis, W. C. Appl. Phys. Lett. 1964, 4, 89. (b) Holmes, J.D.; Johnston, K. P.; Doty, R. C.; Korgel, B. A. Science 2000, 287, 1471. (c) Yu,J.-Y.; Chung, S.-W.; Heath, J. R. J. Phys. Chem. B 2000, 104, 11864. (d) Wu,Y.; Yang, P. J. Am. Chem. Soc. 2001, 123, 3165. (e) Wu, Y.; Fan, R.; Yang, P.Nano Lett. 2002, 2, 83. (f) Shi, W.-S.; Peng, H.-Y.; Zheng, Y.-F.; Wang, N.;Shang, N.-G.; Pan, Z.-W.; Lee, C.-S.; Lee, S.-T. AdV. Mater. 2000, 12, 1343.(g) Wu, Y.; Xiang, J.; Yang, C.; Lu, W.; Lieber, C. M. Nature 2004, 430, 61.(h) Lu, W.; Xiang, J.; Timko, B. P.; Wu, Y.; Lieber, C. M. Proc. Natl. Acad.Sci. U.S.A. 2005, 102, 10046. (i) Xiang, J.; Lu, W.; Hu, Y.; Wu, Y.; Yan, H.;Lieber, C. M. Nature 2006, 441, 489.
[00130](2)(a) Shi, W.; Peng H.; Wang, N.; Li, C. P.; Xu, L.; Lee, C. S.; Kalish, R.; Lee,S.-T. J. Am. Chem. Soc. 2001, 123, 11095. (b) Zhang, R.-Q.; Lifshitz, Y.; Lee,S.-T. AdV. Mater. 2003, 15, 635. (c) Shan, Y.; Kalkan, A. K.; Peng, C.-Y.;Fonash, S. J. Nano Lett. 2004, 4, 2085.
[00131](3)(a) Pan, Z. W.; Dai, Z. R.; Wang, Z. L. Science 2001, 291, 1947. (b) Li, Y. B.;Bando, Y.; Sato, T.; Kurashima, K. Appl. Phys. Lett. 2002, 81, 144. (c) Arnold,M. S.; Avouris, P.; Pan, Z. W.; Wang, Z. L. J Phys. Chem. B 2003, 107, 659. (d)Dai, Z. R.; Pan, Z. W.; Wang, Z. L. J. Phys. Chem. B 2002, 106, 902. (e) Wen,X.; Wang, S.; Ding, Y.; Wang, Z. L.; Yang, S. J. Phys. Chem. B 2005, 109, 215.(f) Kong, X. Y.; Wang, Z. L. Solid State Commun.2003, 128, 1.
[00132](4)(a) Kar, S.; Satpati, B.; Satyam, P. V.; Chaudhuri, S. J. Phys. Chem. B 2005,109, 19134. (b) Kar, S.; Chaudhuri, S. J Phys. Chem. B 2006, 110, 4542. (c)Kar, S.; Chaudhuri, S. J. Phys. Chem. B 2005, 109, 3298. (d) Li, Y.; Zou, K.;Shan, Y. Y.; Zapien, J. A.; Lee, S.-T. J. Phys. Chem. B 2006, 110, 6759. (e)Zhang, Z.; Wang, J.; Yuan, H.; Gao, Y.; Liu, D.; Song, L.; Xiang, Y.; Zhao, X.;Liu, L.; Luo, S.; Dou, X.; Mou, S.; Zhou, W.; Xie, S. J Phys. Chem. B 2005,109, 18352. (f) Wang, Z. Q.; Gong, J. F.; Duan, J. H.; Huang, H. B.; Yang, S.G.; Zhao, X. N.; Zhang, R.; Du, Y. W. Appl. Phys. Lett. 2006, 89, 033102.
[00133](5)Bae, S. Y.; Seo, H. W.; Park, J.; Yang, H.; Park, J. C.; Lee, S. Y. Appl. Phys.Lett. 2002, 81, 126.
[00134](6)(a) Ma, C.; Ding, Y.; Moore, D.; Wang, X.; Wang, Z. L. J. Am. Chem. Soc. 2004,126, 708. (b) Ding, Y.; Ma, C.; Wang, Z. L. AdV. Mater. 2004, 16, 1740. (c)Joo, J.; Son, J. S.; Kwon, S. G.; Yu, J. H.; Hyeon, T. J. Am. Chem. Soc. 2006,128, 5632. (d) Zhang, X. T.; Ip, K. M.; Liu, Z.; Leung, Y. P.; Li, Q.; Hark, S.K. Appl. Phys. Lett. 2004, 84, 2641. (e) Xie, Q.; Liu, Z.; Shao, M.; Kong, L.;Yu, W.; Qian, Y. J. Cryst. Growth 2003, 252, 570.
[00135](7)(a) Menard, E.; Lee, K. J.; Khang, D.-Y.; Nuzzo, R. G.; Rogers, J. A. Appl.Phys. Lett. 2004, 84, 5398. (b) Menard, E.; Nuzzo, R. G.; Rogers, J. A. Appl.Phys. Lett. 2005, 86, 093507. (c) Zhu, Z.-T.; Menard, E.; Hurley, K.; Nuzzo, R.G.; Rogers, J. A. Appl. Phys. Lett. 2005, 86, 133507. (d) Khang, D.-Y.; Jiang,H.; Huang, Y.; Rogers, J. A. Science 2006, 311, 208. (e) Sun, Y.; Kumar, V.;Adesida, I.; Rogers, J. A. AdV.Mater. 2006, in press.
[00136](8)(a) Zhang, P.; Tevaarwerk, E.; Park, B.-N.; Savage, D. E.; Celler, G. K.;Knezevic, I.; Evans, P. G.; Eriksson, M. A.; Lagally, M. G. Nature 2006, 439,703. (b) Roberts, M. M.; Klein, L. J.; Savage, D. E.; Slinker, K. A.; Friesen,M.; Celler, G.; Eriksson, M. A.; Lagally, M. G. Nat. Mater. 2006, 5, 388.
[00137](9)(a) Huang, M.; Boone, C.; Roberts, M.; Savage, D. E.; Lagally, M. G.; Shaji,N.; Qin, H.; Blick, R.; Nairn, J. A.; Liu, F. AdV. Mater. 2005, 17, 2860. (b)Zhang, L.; Ruh, E.; Grutzmacher, D.; Dong, L.; Bell, D. J.; Nelson, B. J.;Schonenberger, C. Nano Lett. 2006, 6, 1311.
[00138](10)(a) Desai, T. A.; Hansford, D. J.; Kulinsky, L.; Nashat, A. H.; Rasi, G.; Tu,J.; Wang, Y.; Zhang, M.; Ferrari, M. Biomed. MicrodeVices 1999, 2, 11. (b)Bhushan, B.; Kasai, T.; Nguyen,C. V.; Meyyappan, M. Microsyst. Technol. 2004, 10, 633.
[00139](11)Mack, S.; Meitl, M. A.; Baca, A. J.; Zhu, Z.-T.; Rogers, J. A. Appl. Phys.Lett. 2006, 88, 213101.
[00140](12)(a) Letant, S. E.; Hart, B. R.; Van Buuren, A. W.; Terminello, L. J. Nat.Mater. 2003, 2, 391. (b) Storm, A. J.; Chen, J. H.; Ling, X. S.; Zandbergen, H.W.; Dekker, C. Nat. Mater. 2003, 2, 537.
[00141](13)(a) Gmbh, R. B. U.S. Patent 4855017, U.S. Patent 4784720, German Patent 4241045C1, 1994. (b) Ayo´n, A. A.; Braff, R.; Lin,C. C.; Sawin, H. H.; Schmidt, M. A. J. Electrochem. Soc. 1999, 146, 339. (c)Chen, K.-S.; Ayo´n, A. A. J. Microelectromech. Syst. 2002, 11, 264.
[00142](14)(a) Madou, M. Fundamentals of Microfabrication; CRC Press LLC: Boca Raton, FL, 1997; pp 177-187. (b) Chou, B. C. S.; Chen C.-N.; Shie, J.-S.Sens. Actuators, A 1999, 75, 271. (c) Lee, S.; Park, S.; Cho D. J.Microelectromech. Syst. 1999, 8, 409. (d) Ensell, G. J. Micromech. Microeng.1995, 5, 1. (e) Kandall, D. L. Annu. ReV. Mater. Sci. 1979, 9, 373.
[00143](15)Meitl, M. A.; Zhu, Z.-T.; Kumar, V.; Lee, K. J.; Feng, X.; Huang, Y. Y.;Adesida, I.; Nuzzo, R. G.; Rogers, J. A. Nat. Mater. 2006, 5, 33.
[00144](16)Carcia, S. P.; Bao, H.; Hines, M. A. Phys. ReV. Lett. 2004, 93, 166102.
[00145](17)(a) Streetman, B. G.; Banerjee, S. Solid State Electronic Devices, 5th ed.;Prentice Hall: Upper Saddle River, NJ, 2000; pp274-275. (b) Razouk, R. R.; Deal, B. E. J. Electrochem. Soc. 1979, 126, 1573.(c) Kato, Y.; Takao, H.; Sawada, K.; Ishida, M. Jpn. J. Appl. Phys. 2004, 43,6848.
[00146]米国特許出願第11/115,954号、第11/145,574号、第11/145,542号、第60/863,248号、第11/465,317号、第11/423,287号、第11/423,192号、及び第11/421,654号を、本明細書と矛盾しない範囲で参照することにより本明細書に援用する。
[00147]本出願の全体にわたる全ての引用文献、例えば、発行若しくは登録された特許又は等価物、特許出願公報、未公開特許出願を含む特許文献及び非特許文献又は他の資料は、各参考文献が本出願の開示と少なくとも部分的に矛盾しない範囲で参照することにより個々に援用するように(例えば、部分的に矛盾している参考文献は参考文献の部分的に矛盾した部分を除いて参照することより援用する)、参照することによりその全体を本明細書に援用する。
[00148]「備える」、「含む」、「含まれた」、又は「含む」という用語が本明細書で使用される場合、それらは明示された特徴、完全体、工程、又はコンポーネントの存在を明示するものと解釈されるべきであるが、一以上の他の特徴、完全体、工程、コンポーネント、又はそれのグループの存在や追加を排除すべきでない。「備える」又は「含む」、又は「含まれた」という用語は、文法上類似である用語、例えば、必ずしも同じ範囲を占めない更なる実施形態を説明するための「成る」又は「本質的に成る」で任意に置き替えられる本発明の個別の実施形態も包含されるものである。
[00149]本発明が様々な特定の及び好ましい実施形態及び技法を参照しながら説明した。しかし、本発明の趣旨及び範囲内にとどまりながら、多くの変更及び変形を行うことができることが理解されるべきである。本明細書で特に説明したもの以外の組成、方法、デバイス、デバイス要素、材料、手順、及び技法が、過度の実験を用いることなしに本明細書で広く開示されたものとして本発明の実施に適用することができることが当業者には明らかであろう。本明細書で説明した組成、方法、デバイス、デバイス要素、材料、手順、及び技法の既知技術の機能的等価物は全て、本発明に包含されるものである。ある範囲が開示される場合は常に、部分範囲及び個々の値は全て、あたかも別個に規定されるかのように包含されるものである。本発明は、図示した又は明細書で例示した全てを含めて、開示された実施形態によって限定されるべきでない。これら実施形態は、限定するためでなく、例又は説明のために提供したものである。本発明の範囲は、特許請求の範囲によってのみ限定されるものとする。

Claims (19)

  1. デバイス又はデバイスコンポーネントを作成する方法であって、
    複数の機能層と複数の剥離層とを含む多層構造を供給する工程であって、前記剥離層の少なくとも一部が前記多層構造中で機能層間に設けられている、該工程と、
    一以上の前記剥離層又は該剥離層の一部を一以上の前記機能層から分離することによって、前記機能層の少なくとも一部を前記多層構造から剥離し、複数の転写可能な構造を生成する工程と、
    一以上の前記転写可能な構造をデバイス基板又はデバイス基板によって支持されたデバイスコンポーネント上に印刷し、前記デバイス又は前記デバイスコンポーネントを作成する工程であり、前記印刷が乾式転写接触印刷である、該工程と、
    を含む方法。
  2. 前記機能層の少なくとも一部を前記多層構造から剥離する前記工程が、
    少なくとも一対の隣接する層を物理的に分離する工程であって、該一対の隣接する層が、前記多層構造中で機能層に隣接して設けられた剥離層を含む、該工程と、
    前記多層構造中の一以上の前記剥離層の少なくとも一部を除去する工程と、
    からなる群から選択される工程を含む、請求項1に記載の方法。
  3. 前記機能層の少なくとも一部を前記多層構造から剥離する前記工程が、
    一以上の剥離層をエッチングする工程と、
    一以上の剥離層に熱衝撃を与える工程と、
    レーザ光源からの電磁放射に前記剥離層を晒すことによって一以上の剥離層をアブレーション又は分解する工程と、
    化学薬剤に前記剥離層を接触させることによって一以上の剥離層を分解する工程と、
    からなる群から選択された技法を使用して、一以上の前記剥離層又は該剥離層の一部を一以上の前記機能層から分離する工程を含む、請求項1に記載の方法。
  4. 前記機能層の少なくとも一部を前記多層構造から剥離する前記工程が、
    一以上の前記剥離層に界面クラックを導入する工程と、
    前記界面クラックの伝播を引き起こすように前記剥離層に機械的に応力を加え、それによって、一以上の機能層の剥離をもたらす工程と、
    を含む、請求項1に記載の方法。
  5. マスク層を一以上の機能層に物理的に接触して設ける工程を更に含み、
    前記機能層の少なくとも一部を前記多層構造から剥離する前記工程の間、前記マスク層は、前記多層構造に供給されるエッチング液、溶媒、又は化学薬剤に一以上の機能層が晒されることを防止することができる、請求項1に記載の方法。
  6. 前記機能層の少なくとも一部を前記多層構造から剥離する前記工程の前に、キャリアフィルムを一以上の前記機能層に接触させて供給する工程を更に含む、請求項1に記載の方法。
  7. 一以上の予め選択されたマイクロサイズ又はナノサイズの物理的寸法を有する前記転写可能な構造を生成するよう、前記機能層の少なくとも一つに凹部を作成する工程を更に含む、請求項1に記載の方法。
  8. 前記多層構造の前記機能層の少なくとも一つが、半導体層又は一連の半導体層を含む、請求項1に記載の方法。
  9. 前記一連の半導体層が、単一の結晶半導体層、有機半導体層、無機半導体層、III−V族半導体層、及びIV族元素又は化合物半導体からなる群から選択された少なくとも一つの半導体層を含む、請求項8に記載の方法。
  10. 前記多層構造を基板上に生成する工程を更に含み、少なくとも一つの剥離層が前記機能層と前記基板との間に設けられる、請求項1〜9の何れか一項に記載の方法。
  11. 前記多層構造を基板上に生成する前記工程と、前記機能層の少なくとも一部を前記多層構造から剥離する前記工程と、一以上の前記転写可能な構造を印刷する前記工程とを繰り返す工程を更に含み、
    前記多層構造を基板上に生成する前記工程と、前記機能層の少なくとも一部を前記多層構造から剥離する前記工程と、一以上の前記転写可能な構造を印刷する前記工程とを繰り返す前記工程の間、前記基板が再使用される、
    請求項10に記載の方法。
  12. 前記多層構造の前記機能層、剥離層、又は双方の少なくとも一部が、前記基板上にエピタキシャル成長した薄膜である、請求項10に記載の方法。
  13. 光起電力デバイス若しくはデバイスアレイ、トランジスタデバイス若しくはデバイスアレイ、発光ダイオードデバイス若しくはデバイスアレイ、レーザ若しくはレーザのアレイ、又はセンサ若しくはセンサアレイを作成する方法を含む、請求項1に記載の方法。
  14. 転写可能な半導体構造を作成する方法であって、
    複数の機能層と複数の剥離層とを含む多層構造を提供する工程であって、前記剥離層の少なくとも一部が前記多層構造中で機能層間に設けられ、前記機能層の少なくとも一部が一以上の半導体薄膜を含む、該工程と、
    一以上の前記剥離層又は該剥離層の一部を一以上の前記機能層から分離することによって前記機能層の少なくとも一部を前記多層構造から剥離し、前記転写可能な半導体構造を生成する工程と、
    前記転写可能な半導体構造をスタンプに接触させて、該転写可能な半導体構造をリフトオフする工程と、
    を含む方法。
  15. 光起電力デバイス又はデバイスアレイを作成する方法であって、
    複数の機能層と複数の剥離層とを含む多層構造を提供する工程であって、前記剥離層の少なくとも一部が前記多層構造中で機能層間に設けられ、前記機能層の少なくとも一部が光起電力セルを含む、該工程と、
    一以上の前記剥離層又は該剥離層の一部を一以上の前記機能層から分離することによって、前記機能層の少なくとも一部を前記多層構造から剥離し、複数の転写可能な光起電力セルを生成する工程と、
    一以上の前記転写可能な光起電力セルをデバイス基板又はデバイス基板によって支持されたデバイスコンポーネント上に接触印刷又は溶液印刷によって印刷し、それによって、前記光起電力デバイス又はデバイスアレイを作成する工程と、
    を含む方法。
  16. 前記スタンプはエラストマースタンプである、請求項14に記載の方法。
  17. デバイス又はデバイスコンポーネントを作成する方法であって、
    複数の機能層と複数の剥離層とを含む多層構造を供給する工程であって、前記剥離層の少なくとも一部が前記多層構造中で機能層間に設けられている、該工程と、
    一以上の前記剥離層又は該剥離層の一部を一以上の前記機能層から分離することによって、前記機能層の少なくとも一部を前記多層構造から剥離する工程であり、一以上の前記剥離層に界面クラックを導入し、前記界面クラックの伝播を引き起こすように前記剥離層に機械的に応力を加えることを含み、それによって、一以上の機能層の剥離をもたらし、複数の転写可能な構造を生成する、該工程と、
    一以上の前記転写可能な構造をデバイス基板又はデバイス基板によって支持されたデバイスコンポーネント上に印刷し、前記デバイス又は前記デバイスコンポーネントを作成する工程と、
    を含む方法。
  18. デバイス又はデバイスコンポーネントを作成する方法であって、
    複数の機能層と複数の剥離層とを含む多層構造を供給する工程であって、前記剥離層の少なくとも一部が前記多層構造中で機能層間に設けられている、該工程と、
    一以上の前記剥離層又は該剥離層の一部を一以上の前記機能層から分離することによって、前記機能層の少なくとも一部を前記多層構造から剥離し、複数の転写可能な構造を生成する工程と、
    前記機能層の少なくとも一部を前記多層構造から剥離する前記工程の前に、キャリアフィルムを一以上の前記機能層に接触させて供給する工程と、
    一以上の前記転写可能な構造をデバイス基板又はデバイス基板によって支持されたデバイスコンポーネント上に印刷し、前記デバイス又は前記デバイスコンポーネントを作成する工程と、
    を含む方法。
  19. デバイス又はデバイスコンポーネントを作成する方法であって、
    基板を提供する工程と、
    多層構造を前記基板上に生成する工程であり、該多層構造は、複数の機能層と複数の剥離層とを含み、前記剥離層の少なくとも一部が前記多層構造中で機能層間に設けられ、少なくとも一つの剥離層が前記機能層と前記基板との間に設けられる、該工程と、
    一以上の前記剥離層又は該剥離層の一部を一以上の前記機能層から分離することによって、前記機能層の少なくとも一部を前記多層構造から剥離し、複数の転写可能な構造を生成する工程と、
    一以上の前記転写可能な構造をデバイス基板又はデバイス基板によって支持されたデバイスコンポーネント上に印刷し、前記デバイス又は前記デバイスコンポーネントを作成する工程と、
    前記多層構造を基板上に生成する前記工程と、前記機能層の少なくとも一部を前記多層構造から剥離する前記工程と、一以上の前記転写可能な構造を印刷する前記工程とを繰り返す工程と、
    含み、
    前記多層構造を基板上に生成する前記工程と、前記機能層の少なくとも一部を前記多層構造から剥離する前記工程と、一以上の前記転写可能な構造を印刷する前記工程とを繰り返す前記工程の間、前記基板が再使用される、
    を含む方法。
JP2009529401A 2006-09-20 2007-09-20 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法 Active JP5319533B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US82635406P 2006-09-20 2006-09-20
US60/826,354 2006-09-20
US94465307P 2007-06-18 2007-06-18
US60/944,653 2007-06-18
PCT/US2007/079070 WO2008036837A2 (en) 2006-09-20 2007-09-20 Release strategies for making transferable semiconductor structures, devices and device components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013144886A Division JP5805712B2 (ja) 2006-09-20 2013-07-10 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法

Publications (3)

Publication Number Publication Date
JP2010504649A JP2010504649A (ja) 2010-02-12
JP2010504649A5 JP2010504649A5 (ja) 2010-10-21
JP5319533B2 true JP5319533B2 (ja) 2013-10-16

Family

ID=39201282

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2009529401A Active JP5319533B2 (ja) 2006-09-20 2007-09-20 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法
JP2013144886A Active JP5805712B2 (ja) 2006-09-20 2013-07-10 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法
JP2015172848A Active JP6238141B2 (ja) 2006-09-20 2015-09-02 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2013144886A Active JP5805712B2 (ja) 2006-09-20 2013-07-10 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法
JP2015172848A Active JP6238141B2 (ja) 2006-09-20 2015-09-02 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法

Country Status (8)

Country Link
US (4) US7932123B2 (ja)
EP (1) EP2064734B1 (ja)
JP (3) JP5319533B2 (ja)
KR (3) KR101430587B1 (ja)
CN (2) CN101517700B (ja)
MY (1) MY149190A (ja)
TW (1) TWI438827B (ja)
WO (1) WO2008036837A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022091670A1 (ja) 2020-10-30 2022-05-05 信越化学工業株式会社 発光ダイオード供給基板の製造方法、発光ダイオードディスプレイの製造方法、発光ダイオードディスプレイの分割ユニットの製造方法、及び素子供給基板の製造方法

Families Citing this family (465)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US8217381B2 (en) * 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
EP1759422B1 (en) 2004-06-04 2022-01-26 The Board Of Trustees Of The University Of Illinois Electrical device comprising printable semiconductor elements
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
WO2007083570A1 (ja) * 2006-01-16 2007-07-26 Matsushita Electric Industrial Co., Ltd. 半導体小片の製造方法ならびに電界効果トランジスタおよびその製造方法
US20100047959A1 (en) * 2006-08-07 2010-02-25 Emcore Solar Power, Inc. Epitaxial Lift Off on Film Mounted Inverted Metamorphic Multijunction Solar Cells
EP2064734B1 (en) 2006-09-20 2019-01-16 The Board of Trustees of the University of Illionis Method of printing transferable functional structures
US8005402B2 (en) * 2007-01-10 2011-08-23 Kabushiki Kaisha Toshiba Charging device, image forming apparatus and charging method
CN102176486B (zh) 2007-01-17 2015-06-24 伊利诺伊大学评议会 通过基于印刷的组装制造的光学系统
US8097922B1 (en) * 2007-05-29 2012-01-17 The Regents Of The University Of California Nanometer-scale transistor architecture providing enhanced carrier mobility
US20100301454A1 (en) * 2007-11-20 2010-12-02 Yong-Hang Zhang Lattice matched multi-junction photovoltaic and optoelectronic devices
KR101755207B1 (ko) 2008-03-05 2017-07-19 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 펴고 접을 수 있는 전자장치
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
CN102089856B (zh) * 2008-05-12 2013-02-13 维拉诺瓦大学 太阳能电池以及太阳能电池的制造方法
KR101405023B1 (ko) 2008-07-04 2014-06-10 주성엔지니어링(주) 박막형 태양전지 및 그 제조방법
US7927976B2 (en) * 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
US7999454B2 (en) * 2008-08-14 2011-08-16 Global Oled Technology Llc OLED device with embedded chip driving
KR20100027526A (ko) * 2008-09-02 2010-03-11 삼성전기주식회사 박막 소자 제조방법
US8679888B2 (en) 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8097926B2 (en) * 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
JP5646492B2 (ja) 2008-10-07 2014-12-24 エムシー10 インコーポレイテッドMc10,Inc. 伸縮可能な集積回路およびセンサアレイを有する装置
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
EP2351068B1 (en) * 2008-11-19 2020-11-04 X Display Company Technology Limited Printing semiconductor elements by shear-assisted elastomeric stamp transfer
JP2010135538A (ja) * 2008-12-04 2010-06-17 Sumco Corp 貼り合わせウェーハの製造方法
US8778199B2 (en) 2009-02-09 2014-07-15 Emoore Solar Power, Inc. Epitaxial lift off in inverted metamorphic multijunction solar cells
MX337295B (es) 2009-02-09 2016-02-23 Semprius Inc Modulos, receptores y sub-receptores fotovoltaicos tipo concentrador y metodos para formar los mismos.
US8409911B2 (en) * 2009-02-24 2013-04-02 Sunpower Corporation Methods for metallization of solar cells
WO2010111601A2 (en) 2009-03-26 2010-09-30 Semprius, Inc. Methods of forming printable integrated circuit devices and devices formed thereby
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
EP3621123A1 (en) * 2009-05-04 2020-03-11 Microlink Devices, Inc. Assembly techniques for solar cell arrays and solar cells formed therefrom
KR101706915B1 (ko) 2009-05-12 2017-02-15 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리
US8261660B2 (en) 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
US9165989B2 (en) 2009-09-16 2015-10-20 Semprius, Inc. High-yield fabrication of large-format substrates with distributed, independent control elements
WO2011041727A1 (en) 2009-10-01 2011-04-07 Mc10, Inc. Protective cases with integrated electronics
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8536023B2 (en) * 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US12027518B1 (en) 2009-10-12 2024-07-02 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
WO2011069242A1 (en) * 2009-12-09 2011-06-16 Cooledge Lighting Inc. Semiconductor dice transfer-enabling apparatus and method for manufacturing transfer-enabling apparatus
JP6046491B2 (ja) * 2009-12-16 2016-12-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ コンフォーマル電子機器を使用した生体内での電気生理学
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US20110151588A1 (en) * 2009-12-17 2011-06-23 Cooledge Lighting, Inc. Method and magnetic transfer stamp for transferring semiconductor dice using magnetic transfer printing techniques
US8334152B2 (en) 2009-12-18 2012-12-18 Cooledge Lighting, Inc. Method of manufacturing transferable elements incorporating radiation enabled lift off for allowing transfer from host substrate
US20110151114A1 (en) * 2009-12-18 2011-06-23 Cooledge Lighting, Inc. Composite patterning device and method for removing elements from host substrate by establishing conformal contact between device and a contact surface
US8759917B2 (en) * 2010-01-04 2014-06-24 Samsung Electronics Co., Ltd. Thin-film transistor having etch stop multi-layer and method of manufacturing the same
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
EP3695792B1 (en) 2010-03-12 2023-06-14 The Board of Trustees of the University of Illinois Waterproof stretchable optoelectronics
KR101837481B1 (ko) * 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 생체흡수성 기판 상 이식가능한 바이오의료 장치
US9161448B2 (en) 2010-03-29 2015-10-13 Semprius, Inc. Laser assisted transfer welding process
SG185547A1 (en) 2010-05-18 2012-12-28 Agency Science Tech & Res Method of forming a light emitting diode structure and a light emitting diode structure
US8525228B2 (en) * 2010-07-02 2013-09-03 The Regents Of The University Of California Semiconductor on insulator (XOI) for high performance field effect transistors
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
CN104091862B (zh) * 2010-08-06 2017-06-23 晶元光电股份有限公司 半导体光电元件及其制作方法
CN103155114B (zh) * 2010-08-06 2016-10-12 森普留斯公司 用于释放可印刷化合物半导体器件的材料和过程
WO2012027458A1 (en) 2010-08-26 2012-03-01 Semprius, Inc. Structures and methods for testing printable integrated circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US20120091474A1 (en) * 2010-10-13 2012-04-19 NuPGA Corporation Novel semiconductor and optoelectronic devices
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US12033884B2 (en) 2010-11-18 2024-07-09 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US9899329B2 (en) 2010-11-23 2018-02-20 X-Celeprint Limited Interconnection structures and methods for transfer-printed integrated circuit elements with improved interconnection alignment tolerance
US9024310B2 (en) 2011-01-12 2015-05-05 Tsinghua University Epitaxial structure
US8932898B2 (en) 2011-01-14 2015-01-13 The Board Of Trustees Of The Leland Stanford Junior Univerity Deposition and post-processing techniques for transparent conductive films
US9184319B2 (en) 2011-01-14 2015-11-10 The Board Of Trustees Of The Leland Stanford Junior University Multi-terminal multi-junction photovoltaic cells
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
WO2012166686A2 (en) 2011-05-27 2012-12-06 Mc10, Inc. Electronic, optical and/or mechanical apparatus and systems and methods for fabricating same
US8934965B2 (en) 2011-06-03 2015-01-13 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US8889485B2 (en) 2011-06-08 2014-11-18 Semprius, Inc. Methods for surface attachment of flipped active componenets
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
CN103748662B (zh) * 2011-06-28 2016-11-09 圣戈班晶体及检测公司 半导体衬底及形成方法
US9555644B2 (en) 2011-07-14 2017-01-31 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
CN103999238A (zh) * 2011-08-03 2014-08-20 晶阳股份有限公司 通过薄单晶外延硅器件的光伏模组的制造
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US9412727B2 (en) 2011-09-20 2016-08-09 Semprius, Inc. Printing transferable components using microstructured elastomeric surfaces with pressure modulated reversible adhesion
US8492187B2 (en) * 2011-09-29 2013-07-23 International Business Machines Corporation High throughput epitaxial liftoff for releasing multiple semiconductor device layers from a single base substrate
WO2013049614A1 (en) * 2011-09-30 2013-04-04 Microlink Devices, Inc. Light emitting diode fabricated by epitaxial lift-off
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP5854794B2 (ja) * 2011-11-25 2016-02-09 キヤノン株式会社 有機el装置の製造方法
EP2786644B1 (en) 2011-12-01 2019-04-10 The Board of Trustees of the University of Illionis Transient devices designed to undergo programmable transformations
WO2013118536A1 (ja) * 2012-02-07 2013-08-15 東京応化工業株式会社 処理方法及び処理装置
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
KR20150004819A (ko) 2012-03-30 2015-01-13 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 표면에 상응하는 부속체 장착가능한 전자 장치
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
KR20130122089A (ko) * 2012-04-30 2013-11-07 (주)버티클 반도체 소자 제조 방법
WO2014017063A1 (ja) * 2012-07-24 2014-01-30 住友化学株式会社 半導体基板、半導体基板の製造方法及び複合基板の製造方法
KR101878754B1 (ko) 2012-09-13 2018-07-17 삼성전자주식회사 대면적 갈륨 나이트라이드 기판 제조방법
US8946052B2 (en) * 2012-09-26 2015-02-03 Sandia Corporation Processes for multi-layer devices utilizing layer transfer
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
EP2731126A1 (en) 2012-11-09 2014-05-14 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method for bonding bare chip dies
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
KR20140085198A (ko) * 2012-12-27 2014-07-07 서울바이오시스 주식회사 마스크 패턴을 이용한 기판 분리 방법 및 반도체 소자 제조 방법
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9613911B2 (en) 2013-02-06 2017-04-04 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10840536B2 (en) 2013-02-06 2020-11-17 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with containment chambers
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
US10617300B2 (en) 2013-02-13 2020-04-14 The Board Of Trustees Of The University Of Illinois Injectable and implantable cellular-scale electronic devices
WO2014138465A1 (en) 2013-03-08 2014-09-12 The Board Of Trustees Of The University Of Illinois Processing techniques for silicon-based transient devices
US9875935B2 (en) 2013-03-08 2018-01-23 Infineon Technologies Austria Ag Semiconductor device and method for producing the same
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9812601B2 (en) * 2013-03-15 2017-11-07 Amberwave Inc. Solar celll
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9580302B2 (en) 2013-03-15 2017-02-28 Versana Micro Inc. Cell phone having a monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9825229B2 (en) 2013-04-04 2017-11-21 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
US10292263B2 (en) 2013-04-12 2019-05-14 The Board Of Trustees Of The University Of Illinois Biodegradable materials for multilayer transient printed circuit boards
CA2909344A1 (en) 2013-04-12 2014-10-16 The Board Of Trustees Of The University Of Illinois Materials, electronic systems and modes for active and passive transience
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
WO2015006382A1 (en) * 2013-07-08 2015-01-15 Solexel, Inc. Thin film solar cell lamination stack for high volume manufacturing
EP3052017B1 (en) 2013-10-02 2019-12-11 The Board of Trustees of the University of Illionis Organ mounted electronics
WO2015084868A1 (en) * 2013-12-02 2015-06-11 The Regents Of The University Of Michigan Fabrication of thin-film electronic devices with non-destructive wafer reuse
US9058990B1 (en) * 2013-12-19 2015-06-16 International Business Machines Corporation Controlled spalling of group III nitrides containing an embedded spall releasing plane
CN106165111A (zh) * 2014-01-15 2016-11-23 密歇根大学董事会 外延剥离太阳能电池与迷你抛物面聚光器阵列通过印刷方法的集成
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9123585B1 (en) 2014-02-11 2015-09-01 International Business Machines Corporation Method to form group III-V and Si/Ge FINFET on insulator
US9129863B2 (en) 2014-02-11 2015-09-08 International Business Machines Corporation Method to form dual channel group III-V and Si/Ge FINFET CMOS
US9236565B2 (en) * 2014-04-29 2016-01-12 National University Of Singapore Method for fabricating a magnetoresistive device
US9274277B2 (en) 2014-05-15 2016-03-01 Globalfoundries Inc. Waveguide devices with supporting anchors
TWI677963B (zh) 2014-06-18 2019-11-21 愛爾蘭商艾克斯瑟樂普林特有限公司 微組裝高頻裝置及陣列
US9929053B2 (en) 2014-06-18 2018-03-27 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
US9761754B2 (en) 2014-06-18 2017-09-12 X-Celeprint Limited Systems and methods for preparing GaN and related materials for micro assembly
TWI733238B (zh) 2014-06-18 2021-07-11 愛爾蘭商艾克斯展示公司技術有限公司 微組裝發光二極體顯示器及照明元件
US9865600B2 (en) 2014-06-18 2018-01-09 X-Celeprint Limited Printed capacitors
MY182156A (en) 2014-06-18 2021-01-18 X Celeprint Ltd Systems and methods for controlling release of transferable semiconductor structures
JP6453437B2 (ja) 2014-07-20 2019-01-16 エックス−セレプリント リミテッドX−Celeprint Limited マイクロ転写印刷のための装置および方法
US9111983B1 (en) 2014-07-31 2015-08-18 Freescale Semiconductor, Inc. Methods for removing adhesive layers from semiconductor wafers
JP6744019B2 (ja) 2014-08-11 2020-08-19 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 生物流体の表皮特性評価のためのデバイス及び関連する方法
US10736551B2 (en) 2014-08-11 2020-08-11 The Board Of Trustees Of The University Of Illinois Epidermal photonic systems and methods
KR20170041872A (ko) 2014-08-11 2017-04-17 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 온도 및 열 전달 특성분석을 위한 표피 장치
WO2016030422A1 (en) 2014-08-26 2016-03-03 X-Celeprint Limited Micro assembled hybrid displays and lighting elements
US9799719B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Active-matrix touchscreen
US9991163B2 (en) 2014-09-25 2018-06-05 X-Celeprint Limited Small-aperture-ratio display with electrical component
US9537069B1 (en) 2014-09-25 2017-01-03 X-Celeprint Limited Inorganic light-emitting diode with encapsulating reflector
US9468050B1 (en) 2014-09-25 2016-10-11 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9799261B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9818725B2 (en) 2015-06-01 2017-11-14 X-Celeprint Limited Inorganic-light-emitter display with integrated black matrix
US9922956B2 (en) * 2014-09-26 2018-03-20 Qualcomm Incorporated Microelectromechanical system (MEMS) bond release structure and method of wafer transfer for three-dimensional integrated circuit (3D IC) integration
US10538028B2 (en) 2014-11-17 2020-01-21 The Board Of Trustees Of The University Of Illinois Deterministic assembly of complex, three-dimensional architectures by compressive buckling
US9773945B2 (en) 2015-01-30 2017-09-26 Osram Opto Semiconductors Gmbh Method for producing a semiconductor component and a semiconductor component
WO2016149629A1 (en) * 2015-03-18 2016-09-22 The Regents Of The University Of Michigan Strain relief epitaxial lift-off via pre-patterned mesas
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US9640715B2 (en) 2015-05-15 2017-05-02 X-Celeprint Limited Printable inorganic semiconductor structures
MX2017015587A (es) 2015-06-01 2018-08-23 Univ Illinois Metodo alternativo para sensor uv.
MX2017015586A (es) 2015-06-01 2018-08-23 Univ Illinois Sistemas electronicos miniaturizados con capacidades de energia inalambrica y comunicacion de campo cercano.
US10102794B2 (en) 2015-06-09 2018-10-16 X-Celeprint Limited Distributed charge-pump power-supply system
US9871345B2 (en) 2015-06-09 2018-01-16 X-Celeprint Limited Crystalline color-conversion device
US11061276B2 (en) 2015-06-18 2021-07-13 X Display Company Technology Limited Laser array display
US10133426B2 (en) 2015-06-18 2018-11-20 X-Celeprint Limited Display with micro-LED front light
US9640391B2 (en) * 2015-06-23 2017-05-02 The Trustees Of The Stevens Institute Of Technology Direct and pre-patterned synthesis of two-dimensional heterostructures
WO2017004576A1 (en) 2015-07-02 2017-01-05 The Board Of Trustees Of The University Of Illinois Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics
US9704821B2 (en) 2015-08-11 2017-07-11 X-Celeprint Limited Stamp with structured posts
US10255834B2 (en) 2015-07-23 2019-04-09 X-Celeprint Limited Parallel redundant chiplet system for controlling display pixels
US9640108B2 (en) 2015-08-25 2017-05-02 X-Celeprint Limited Bit-plane pulse width modulated digital display system
US10468363B2 (en) 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10380930B2 (en) 2015-08-24 2019-08-13 X-Celeprint Limited Heterogeneous light emitter display system
US9899556B2 (en) 2015-09-14 2018-02-20 Wisconsin Alumni Research Foundation Hybrid tandem solar cells with improved tunnel junction structures
DE112016004265T5 (de) 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US10230048B2 (en) 2015-09-29 2019-03-12 X-Celeprint Limited OLEDs for micro transfer printing
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
WO2017105581A2 (en) 2015-10-02 2017-06-22 Semprius, Inc. Wafer-integrated, ultra-low profile concentrated photovoltaics (cpv) for space applications
TWI716473B (zh) * 2015-10-22 2021-01-21 愛爾蘭商艾克斯展示公司技術有限公司 微型裝置陣列
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US12035531B2 (en) 2015-10-24 2024-07-09 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10066819B2 (en) 2015-12-09 2018-09-04 X-Celeprint Limited Micro-light-emitting diode backlight system
US9930277B2 (en) 2015-12-23 2018-03-27 X-Celeprint Limited Serial row-select matrix-addressed system
US9786646B2 (en) 2015-12-23 2017-10-10 X-Celeprint Limited Matrix addressed device repair
US10091446B2 (en) 2015-12-23 2018-10-02 X-Celeprint Limited Active-matrix displays with common pixel control
US9928771B2 (en) 2015-12-24 2018-03-27 X-Celeprint Limited Distributed pulse width modulation control
CN105609589B (zh) * 2016-01-29 2017-11-03 中国科学院半导体研究所 适用于转印的无机半导体薄膜功能单元的制备方法
US11230471B2 (en) 2016-02-05 2022-01-25 X-Celeprint Limited Micro-transfer-printed compound sensor device
US10361677B2 (en) 2016-02-18 2019-07-23 X-Celeprint Limited Transverse bulk acoustic wave filter
US10200013B2 (en) 2016-02-18 2019-02-05 X-Celeprint Limited Micro-transfer-printed acoustic wave filter device
US10109753B2 (en) 2016-02-19 2018-10-23 X-Celeprint Limited Compound micro-transfer-printed optical filter device
WO2017144573A1 (en) 2016-02-25 2017-08-31 X-Celeprint Limited Efficiently micro-transfer printing micro-scale devices onto large-format substrates
US10193025B2 (en) 2016-02-29 2019-01-29 X-Celeprint Limited Inorganic LED pixel structure
US10150325B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid banknote with electronic indicia
US10150326B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid document with variable state
US9984890B2 (en) * 2016-03-02 2018-05-29 Tokyo Electron Limited Isotropic silicon and silicon-germanium etching with tunable selectivity
US10153256B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-transfer printable electronic component
US10153257B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-printed display
US10917953B2 (en) 2016-03-21 2021-02-09 X Display Company Technology Limited Electrically parallel fused LEDs
US10103069B2 (en) 2016-04-01 2018-10-16 X-Celeprint Limited Pressure-activated electrical interconnection by micro-transfer printing
US11154201B2 (en) 2016-04-01 2021-10-26 The Board Of Trustees Of The University Of Illinois Implantable medical devices for optogenetics
US10199546B2 (en) 2016-04-05 2019-02-05 X-Celeprint Limited Color-filter device
US10008483B2 (en) 2016-04-05 2018-06-26 X-Celeprint Limited Micro-transfer printed LED and color filter structure
US10198890B2 (en) 2016-04-19 2019-02-05 X-Celeprint Limited Hybrid banknote with electronic indicia using near-field-communications
US9997102B2 (en) 2016-04-19 2018-06-12 X-Celeprint Limited Wirelessly powered display and system
US10360846B2 (en) 2016-05-10 2019-07-23 X-Celeprint Limited Distributed pulse-width modulation system with multi-bit digital storage and output device
US10622700B2 (en) 2016-05-18 2020-04-14 X-Celeprint Limited Antenna with micro-transfer-printed circuit element
DE102016109459B4 (de) 2016-05-23 2019-06-13 X-Fab Semiconductor Foundries Ag Optimierter Transfer Print (Überführungsdruck) zwischen Trägersubstraten als Verfahren, Trägersubstrat und mikro-technisches Bauelement
DE102016109950B3 (de) 2016-05-30 2017-09-28 X-Fab Semiconductor Foundries Ag Integrierte Schaltung mit einem - durch einen Überführungsdruck aufgebrachten - Bauelement und Verfahren zur Herstellung der integrierten Schaltung
US9997501B2 (en) 2016-06-01 2018-06-12 X-Celeprint Limited Micro-transfer-printed light-emitting diode device
US10453826B2 (en) 2016-06-03 2019-10-22 X-Celeprint Limited Voltage-balanced serial iLED pixel and display
US11137641B2 (en) 2016-06-10 2021-10-05 X Display Company Technology Limited LED structure with polarized light emission
WO2017218878A1 (en) 2016-06-17 2017-12-21 The Board Of Trustees Of The University Of Illinois Soft, wearable microfluidic systems capable of capture, storage, and sensing of biofluids
US9966301B2 (en) * 2016-06-27 2018-05-08 New Fab, LLC Reduced substrate effects in monolithically integrated RF circuits
DE102016117030B4 (de) 2016-07-17 2018-07-05 X-Fab Semiconductor Foundries Ag Herstellung von Halbleiterstrukturen auf einem Trägersubstrat, die durch Überführungsdruck (Transfer Print) übertragbar sind.
US10475876B2 (en) 2016-07-26 2019-11-12 X-Celeprint Limited Devices with a single metal layer
US10222698B2 (en) 2016-07-28 2019-03-05 X-Celeprint Limited Chiplets with wicking posts
US11064609B2 (en) 2016-08-04 2021-07-13 X Display Company Technology Limited Printable 3D electronic structure
US9722134B1 (en) * 2016-08-16 2017-08-01 Mikro Mesa Technology Co., Ltd. Method for transferring semiconductor structure
US9997399B2 (en) * 2016-08-16 2018-06-12 Mikro Mesa Technology Co., Ltd. Method for transferring semiconductor structure
US9980341B2 (en) 2016-09-22 2018-05-22 X-Celeprint Limited Multi-LED components
US10157880B2 (en) 2016-10-03 2018-12-18 X-Celeprint Limited Micro-transfer printing with volatile adhesive layer
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10782002B2 (en) 2016-10-28 2020-09-22 X Display Company Technology Limited LED optical components
WO2018085371A1 (en) 2016-11-01 2018-05-11 Massachusetts Institute Of Technology Lift-off embedded micro and structures
US11027462B2 (en) 2016-11-09 2021-06-08 The Board Of Trustees Of Western Michigan University Polydimethylsiloxane films and method of manufacture
US10347168B2 (en) 2016-11-10 2019-07-09 X-Celeprint Limited Spatially dithered high-resolution
US10395966B2 (en) 2016-11-15 2019-08-27 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10224231B2 (en) 2016-11-15 2019-03-05 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10600671B2 (en) 2016-11-15 2020-03-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10438859B2 (en) 2016-12-19 2019-10-08 X-Celeprint Limited Transfer printed device repair
US10297502B2 (en) 2016-12-19 2019-05-21 X-Celeprint Limited Isolation structure for micro-transfer-printable devices
KR101925565B1 (ko) * 2016-12-30 2018-12-06 (재)한국나노기술원 갈라짐 패턴을 이용한 에피층 분리 방법
US10832609B2 (en) * 2017-01-10 2020-11-10 X Display Company Technology Limited Digital-drive pulse-width-modulated output system
US10332868B2 (en) 2017-01-26 2019-06-25 X-Celeprint Limited Stacked pixel structures
US10468391B2 (en) 2017-02-08 2019-11-05 X-Celeprint Limited Inorganic light-emitting-diode displays with multi-ILED pixels
TWI675402B (zh) * 2017-02-17 2019-10-21 美商美國亞德諾半導體公司 轉印方法及平行轉印方法
US10249739B2 (en) 2017-03-01 2019-04-02 International Business Machines Corporation Nanosheet MOSFET with partial release and source/drain epitaxy
US10396137B2 (en) 2017-03-10 2019-08-27 X-Celeprint Limited Testing transfer-print micro-devices on wafer
KR101898858B1 (ko) * 2017-03-13 2018-10-29 주식회사 페타룩스 태양 전지
US11024608B2 (en) 2017-03-28 2021-06-01 X Display Company Technology Limited Structures and methods for electrical connection of micro-devices and substrates
DE102017108136B4 (de) 2017-04-13 2019-03-14 X-Fab Semiconductor Foundries Ag Geometrisch geformte Bauelemente in einer Anordnung für einen Überführungsdruck (Transfer Print) und zugehörige Verfahren
US10468397B2 (en) 2017-05-05 2019-11-05 X-Celeprint Limited Matrix addressed tiles and arrays
KR102002839B1 (ko) * 2017-05-23 2019-07-23 한국기계연구원 희생층을 이용한 금속패턴 형성방법
US10777700B2 (en) * 2017-06-02 2020-09-15 Wisconsin Alumni Research Foundation Optoelectronic devices based on thin single-crystalline semiconductor films and non-epitaxial optical cavities
CN107188115B (zh) * 2017-06-06 2020-05-01 北京航空航天大学 一种金属/聚合物复合三维微纳米结构的制备方法
US10176991B1 (en) 2017-07-06 2019-01-08 Wisconsin Alumni Research Foundation High-quality, single-crystalline silicon-germanium films
US10804880B2 (en) 2018-12-03 2020-10-13 X-Celeprint Limited Device structures with acoustic wave transducers and connection posts
US10943946B2 (en) 2017-07-21 2021-03-09 X Display Company Technology Limited iLED displays with substrate holes
US10832935B2 (en) 2017-08-14 2020-11-10 X Display Company Technology Limited Multi-level micro-device tethers
DE102017125217A1 (de) * 2017-10-27 2019-05-02 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung von zumindest einem optoelektronischen Bauelement und optoelektronisches Bauelement
US10734303B2 (en) 2017-11-06 2020-08-04 QROMIS, Inc. Power and RF devices implemented using an engineered substrate structure
US10836200B2 (en) 2017-11-13 2020-11-17 X Display Company Technology Limited Rigid micro-modules with ILED and light conductor
US20190186041A1 (en) 2017-12-20 2019-06-20 International Business Machines Corporation Three-dimensionally stretchable single crystalline semiconductor membrane
US10297585B1 (en) 2017-12-21 2019-05-21 X-Celeprint Limited Multi-resolution compound micro-devices
CN109971373B (zh) * 2017-12-28 2021-01-26 清华大学 一种粘结方法
WO2019145867A1 (en) * 2018-01-23 2019-08-01 Moshe Einav Voltage-matched multi-junction solar module made of 2d materials
US10692996B1 (en) 2018-02-05 2020-06-23 United States Of America As Represented By The Secretary Of The Air Force Systems, methods and apparatus for radio frequency devices
US10690920B2 (en) 2018-02-28 2020-06-23 X Display Company Technology Limited Displays with transparent bezels
JP6431631B1 (ja) 2018-02-28 2018-11-28 株式会社フィルネックス 半導体素子の製造方法
TWI670755B (zh) * 2018-02-28 2019-09-01 日商菲爾尼克斯股份有限公司 半導體元件的製造方法
US11189605B2 (en) 2018-02-28 2021-11-30 X Display Company Technology Limited Displays with transparent bezels
US10910355B2 (en) 2018-04-30 2021-02-02 X Display Company Technology Limited Bezel-free displays
US10505079B2 (en) 2018-05-09 2019-12-10 X-Celeprint Limited Flexible devices and methods using laser lift-off
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US10615574B2 (en) 2018-05-17 2020-04-07 Wisconsin Alumni Research Foundation Superlattice heterostructures formed with single crystalline semiconductor nanomembranes and amorphous tunneling barrier layers
WO2019232230A1 (en) 2018-05-30 2019-12-05 The Regents Of The University Of California Method of removing semiconducting layers from a semiconducting substrate
US10832934B2 (en) 2018-06-14 2020-11-10 X Display Company Technology Limited Multi-layer tethers for micro-transfer printing
US10714001B2 (en) 2018-07-11 2020-07-14 X Display Company Technology Limited Micro-light-emitting-diode displays
US10796971B2 (en) 2018-08-13 2020-10-06 X Display Company Technology Limited Pressure-activated electrical interconnection with additive repair
WO2020059023A1 (ja) 2018-09-18 2020-03-26 株式会社 東芝 光電変換素子とその製造方法
US10672891B2 (en) * 2018-10-04 2020-06-02 International Business Machines Corporation Stacked gate all around MOSFET with symmetric inner spacer formed via sacrificial pure Si anchors
US10796938B2 (en) 2018-10-17 2020-10-06 X Display Company Technology Limited Micro-transfer printing with selective component removal
US10573544B1 (en) 2018-10-17 2020-02-25 X-Celeprint Limited Micro-transfer printing with selective component removal
US11923472B2 (en) 2018-11-05 2024-03-05 The United States Of America As Represented By The Secretary Of The Army Deformable array of semiconductor devices
US20210002128A1 (en) 2018-12-03 2021-01-07 X-Celeprint Limited Enclosed cavity structures
US10790173B2 (en) 2018-12-03 2020-09-29 X Display Company Technology Limited Printed components on substrate posts
US11482979B2 (en) 2018-12-03 2022-10-25 X Display Company Technology Limited Printing components over substrate post edges
US11528808B2 (en) 2018-12-03 2022-12-13 X Display Company Technology Limited Printing components to substrate posts
US11274035B2 (en) 2019-04-24 2022-03-15 X-Celeprint Limited Overhanging device structures and related methods of manufacture
JP6836022B2 (ja) 2018-12-10 2021-02-24 株式会社フィルネックス 半導体基板、半導体基板の製造方法及び半導体素子の製造方法
US11282786B2 (en) 2018-12-12 2022-03-22 X Display Company Technology Limited Laser-formed interconnects for redundant devices
CN113261075A (zh) * 2018-12-21 2021-08-13 应用材料公司 用于形成触点的处理系统和方法
US11483937B2 (en) 2018-12-28 2022-10-25 X Display Company Technology Limited Methods of making printed structures
US11322460B2 (en) 2019-01-22 2022-05-03 X-Celeprint Limited Secure integrated-circuit systems
US11251139B2 (en) 2019-01-22 2022-02-15 X-Celeprint Limited Secure integrated-circuit systems
US10748793B1 (en) 2019-02-13 2020-08-18 X Display Company Technology Limited Printing component arrays with different orientations
US11088121B2 (en) 2019-02-13 2021-08-10 X Display Company Technology Limited Printed LED arrays with large-scale uniformity
US11094870B2 (en) 2019-03-12 2021-08-17 X Display Company Technology Limited Surface-mountable pixel packages and pixel engines
US11164934B2 (en) 2019-03-12 2021-11-02 X Display Company Technology Limited Tiled displays with black-matrix support screens
DE102019108701A1 (de) * 2019-04-03 2020-10-08 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung einer Mehrzahl von Bauteilen, Bauteil und Bauteilverbund aus Bauteilen
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10714374B1 (en) 2019-05-09 2020-07-14 X Display Company Technology Limited High-precision printed structures
FR3096172A1 (fr) * 2019-05-13 2020-11-20 X-Fab France SAS Transfer Printing for RF Applications
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US10944027B2 (en) 2019-06-14 2021-03-09 X Display Company Technology Limited Pixel modules with controllers and light emitters
US11488943B2 (en) 2019-06-14 2022-11-01 X Display Company Technology Limited Modules with integrated circuits and devices
WO2020122698A2 (ko) 2019-06-20 2020-06-18 엘지전자 주식회사 디스플레이 장치 및 반도체 발광소자의 자가조립 방법
CN110211880B (zh) * 2019-07-05 2023-04-28 苏州汉骅半导体有限公司 金刚石基氮化镓hemt结构制造方法
DE102019118270B4 (de) 2019-07-05 2021-10-07 X-Fab Semiconductor Foundries Gmbh Verfahren zur Herstellung von Halbleiterbauelementen zur Ausbeutesteigerung beim Mikrotransferdruck
US11101417B2 (en) 2019-08-06 2021-08-24 X Display Company Technology Limited Structures and methods for electrically connecting printed components
CN110600470B (zh) * 2019-08-22 2021-10-22 深圳第三代半导体研究院 一种GaN基激光器和AlGaN/GaN HEMT集成器件制备方法
WO2021046269A1 (en) * 2019-09-04 2021-03-11 Massachusetts Institute Of Technology Multi-regional epitaxial growth and related systems and articles
FI129855B (en) * 2019-10-08 2022-09-30 Jani Oksanen METHOD AND STRUCTURE FOR MANUFACTURING THIN FILMS
US11626856B2 (en) 2019-10-30 2023-04-11 X-Celeprint Limited Non-linear tethers for suspended devices
US11637540B2 (en) 2019-10-30 2023-04-25 X-Celeprint Limited Non-linear tethers for suspended devices
US11127889B2 (en) 2019-10-30 2021-09-21 X Display Company Technology Limited Displays with unpatterned layers of light-absorbing material
DE102020107288A1 (de) 2019-12-10 2021-06-10 X-Fab Semiconductor Foundries Gmbh Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
US11062936B1 (en) 2019-12-19 2021-07-13 X Display Company Technology Limited Transfer stamps with multiple separate pedestals
US11315909B2 (en) 2019-12-20 2022-04-26 X Display Company Technology Limited Displays with embedded light emitters
US11037912B1 (en) 2020-01-31 2021-06-15 X Display Company Technology Limited LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel
US20210342659A1 (en) * 2020-05-01 2021-11-04 X-Celeprint Limited Hybrid documents with electronic indicia
CN111540709A (zh) * 2020-05-07 2020-08-14 电子科技大学 一种二维半导体器件电路一体化制备的方法
US11538849B2 (en) 2020-05-28 2022-12-27 X Display Company Technology Limited Multi-LED structures with reduced circuitry
WO2022013246A1 (en) * 2020-07-13 2022-01-20 Rockley Photonics Limited Method of preparing a device coupon for micro-transfer printing, device wafer including said device coupon, and optoelectronic device manufactured from said device wafer
TW202215730A (zh) * 2020-09-30 2022-04-16 日商信越化學工業股份有限公司 光學元件的雷射誘導向前轉移方法及其裝置、已轉移了光學元件的受體基板的製造方法以及顯示器的製造方法
US12006205B2 (en) 2020-10-08 2024-06-11 X-Celeprint Limited Micro-device structures with etch holes
US11952266B2 (en) 2020-10-08 2024-04-09 X-Celeprint Limited Micro-device structures with etch holes
CN112234019B (zh) * 2020-10-20 2023-01-17 广东省科学院半导体研究所 转移膜、转移组件和微器件曲面转移方法
US10964899B1 (en) 2020-11-05 2021-03-30 King Abdulaziz University Hybrid junction solar light sensitive device
CN117716487A (zh) * 2021-08-09 2024-03-15 维耶尔公司 微装置的选择性释放
US20230138136A1 (en) * 2021-11-04 2023-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. NanoStructure Field-Effect Transistor Device and Methods of Forming
WO2023081521A1 (en) * 2021-11-08 2023-05-11 The Board Of Trustees Of The Leland Stanford Junior University Process for the pulsed laser ejection of multiple epitaxial structures from one thin film growth

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296953A (en) * 1984-01-23 1994-03-22 Canon Kabushiki Kaisha Driving method for ferro-electric liquid crystal optical modulation device
US4761335A (en) 1985-03-07 1988-08-02 National Starch And Chemical Corporation Alpha-particle protection of semiconductor devices
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4663628A (en) * 1985-05-06 1987-05-05 Halliburton Company Method of sampling environmental conditions with a self-contained downhole gauge system
US4663828A (en) * 1985-10-11 1987-05-12 Energy Conversion Devices, Inc. Process and apparatus for continuous production of lightweight arrays of photovoltaic cells
US5107586A (en) * 1988-09-27 1992-04-28 General Electric Company Method for interconnecting a stack of integrated circuits at a very high density
JPH06118441A (ja) 1991-11-05 1994-04-28 Tadanobu Kato 表示セル
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5434751A (en) * 1994-04-11 1995-07-18 Martin Marietta Corporation Reworkable high density interconnect structure incorporating a release layer
US5599616A (en) * 1994-11-30 1997-02-04 Polaroid Corporation Laminar imaging medium utilizing cross-linked borated polymeric binder
JP4525603B2 (ja) * 1996-08-27 2010-08-18 セイコーエプソン株式会社 薄膜トランジスタの転写方法
US6316283B1 (en) 1998-03-25 2001-11-13 Asulab Sa Batch manufacturing method for photovoltaic cells
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
KR100434537B1 (ko) 1999-03-31 2004-06-05 삼성전자주식회사 다공질 실리콘 혹은 다공질 산화 실리콘을 이용한 두꺼운 희생층을 가진 다층 구조 웨이퍼 및 그 제조방법
JP3657143B2 (ja) * 1999-04-27 2005-06-08 シャープ株式会社 太陽電池及びその製造方法
IL130326A0 (en) * 1999-06-07 2000-06-01 Yeda Res & Dev A sensor based on molecular controlled semiconductor resistor
US7427526B2 (en) * 1999-12-20 2008-09-23 The Penn State Research Foundation Deposited thin films and their use in separation and sacrificial layer applications
EP1280617A4 (en) 2000-04-17 2005-08-03 Penn State Res Found REMOVED THIN FILMS AND THEIR USE IN SACRIFICIAL LAYER AND SEPARATION LAYERS
US6787052B1 (en) * 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
US6787750B1 (en) 2000-06-29 2004-09-07 Siemens Corporate Research, Inc. Method and apparatus for robust optical tracking with beacon markers
US6525352B1 (en) * 2000-11-22 2003-02-25 Network Photonics, Inc. Method to reduce release time of micromachined devices
WO2002043155A2 (en) 2000-11-27 2002-05-30 Kopin Corporation Bipolar transistor with lattice matched base layer
JP4461616B2 (ja) * 2000-12-14 2010-05-12 ソニー株式会社 素子の転写方法、素子保持基板の形成方法、及び素子保持基板
US6569701B2 (en) * 2001-10-25 2003-05-27 Rockwell Automation Technologies, Inc. Method for fabricating an isolated microelectromechanical system device
US20020173033A1 (en) 2001-05-17 2002-11-21 Kyle Hammerick Device and method or three-dimensional spatial localization and functional interconnection of different types of cells
US6900094B2 (en) 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
WO2003009339A2 (en) 2001-07-20 2003-01-30 Microlink Devices, Inc. Graded base gaassb for high speed gaas hbt
WO2003009396A2 (en) 2001-07-20 2003-01-30 Microlink Devices, Inc. Algaas or ingap low turn-on voltage gaas-based heterojunction bipolar transistor
US6661037B2 (en) 2001-07-20 2003-12-09 Microlink Devices, Inc. Low emitter resistance contacts to GaAs high speed HBT
JP2003077940A (ja) 2001-09-06 2003-03-14 Sony Corp 素子の転写方法及びこれを用いた素子の配列方法、画像表示装置の製造方法
US6936181B2 (en) * 2001-10-11 2005-08-30 Kovio, Inc. Methods for patterning using liquid embossing
US6864414B2 (en) * 2001-10-24 2005-03-08 Emcore Corporation Apparatus and method for integral bypass diode in solar cells
ITMO20010248A1 (it) * 2001-12-12 2003-06-12 Expert System Solutions Srl Dilatometro ottico perfezionato
JP4211256B2 (ja) * 2001-12-28 2009-01-21 セイコーエプソン株式会社 半導体集積回路、半導体集積回路の製造方法、電気光学装置、電子機器
US6984424B2 (en) * 2002-03-01 2006-01-10 Dai Nippon Printing Co., Ltd. Thermally transferable image protective sheet, method for protective layer formation, and record produced by said method
JP3889700B2 (ja) 2002-03-13 2007-03-07 三井金属鉱業株式会社 Cofフィルムキャリアテープの製造方法
JP2003297974A (ja) 2002-03-29 2003-10-17 Seiko Epson Corp 半導体装置、電気光学装置および半導体装置の製造方法
US6531331B1 (en) * 2002-07-16 2003-03-11 Sandia Corporation Monolithic integration of a MOSFET with a MEMS device
US6746890B2 (en) * 2002-07-17 2004-06-08 Tini Alloy Company Three dimensional thin film devices and methods of fabrication
US6747338B1 (en) 2002-11-27 2004-06-08 Analog Devices, Inc. Composite dielectric with improved etch selectivity for high voltage MEMS structures
US7494896B2 (en) 2003-06-12 2009-02-24 International Business Machines Corporation Method of forming magnetic random access memory (MRAM) devices on thermally-sensitive substrates using laser transfer
US7033961B1 (en) * 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
DE10349963A1 (de) * 2003-10-24 2005-06-02 Leonhard Kurz Gmbh & Co. Kg Verfahren zur Herstellung einer Folie
KR100845565B1 (ko) 2003-12-01 2008-07-10 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 나노스케일 3차원 구조물의 제조방법 및 장치
US7018549B2 (en) * 2003-12-29 2006-03-28 Intel Corporation Method of fabricating multiple nanowires of uniform length from a single catalytic nanoparticle
US8159048B2 (en) * 2004-01-30 2012-04-17 Triquint Semiconductor, Inc. Bipolar junction transistor geometry
JP5030388B2 (ja) 2004-03-22 2012-09-19 株式会社半導体エネルギー研究所 薄膜集積回路の作製方法
CN1934707B (zh) * 2004-03-22 2014-09-10 株式会社半导体能源研究所 制造集成电路的方法
US7202141B2 (en) * 2004-03-29 2007-04-10 J.P. Sercel Associates, Inc. Method of separating layers of material
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
CN102004393B (zh) 2004-04-27 2013-05-01 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
EP1759422B1 (en) 2004-06-04 2022-01-26 The Board Of Trustees Of The University Of Illinois Electrical device comprising printable semiconductor elements
US7943491B2 (en) 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8217381B2 (en) 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
JP4771510B2 (ja) * 2004-06-23 2011-09-14 キヤノン株式会社 半導体層の製造方法及び基板の製造方法
JP4912627B2 (ja) * 2004-06-24 2012-04-11 株式会社半導体エネルギー研究所 薄膜集積回路の作製方法
US7425523B2 (en) * 2004-07-05 2008-09-16 Dai Nippon Printing Co., Ltd. Thermal transfer recording material and thermal transfer recording method
US7687886B2 (en) 2004-08-19 2010-03-30 Microlink Devices, Inc. High on-state breakdown heterojunction bipolar transistor
DE102005036820A1 (de) * 2004-08-31 2006-03-09 Osram Opto Semiconductors Gmbh Strahlungsemittierender Halbleiterkörper für einen vertikal emittierenden Laser und Verfahren zu dessen Herstellung
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7621044B2 (en) 2004-10-22 2009-11-24 Formfactor, Inc. Method of manufacturing a resilient contact
KR100667508B1 (ko) * 2004-11-08 2007-01-10 엘지전자 주식회사 발광 소자 및 그의 제조방법
US7306963B2 (en) 2004-11-30 2007-12-11 Spire Corporation Precision synthesis of quantum dot nanostructures for fluorescent and optoelectronic devices
US20060180198A1 (en) * 2005-02-16 2006-08-17 Sharp Kabushiki Kaisha Solar cell, solar cell string and method of manufacturing solar cell string
JP5052033B2 (ja) * 2005-04-28 2012-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
CN101632156B (zh) 2005-06-02 2012-06-20 伊利诺伊大学评议会 可印刷半导体结构以及相关制造和组装方法
WO2006130721A2 (en) 2005-06-02 2006-12-07 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7462891B2 (en) * 2005-09-27 2008-12-09 Coldwatt, Inc. Semiconductor device having an interconnect with sloped walls and method of forming the same
US7687707B2 (en) * 2005-11-16 2010-03-30 Emcore Solar Power, Inc. Via structures in solar cells with bypass diode
WO2007083570A1 (ja) * 2006-01-16 2007-07-26 Matsushita Electric Industrial Co., Ltd. 半導体小片の製造方法ならびに電界効果トランジスタおよびその製造方法
CN101506413A (zh) 2006-03-03 2009-08-12 伊利诺伊大学评议会 制造空间排列的纳米管和纳米管阵列的方法
WO2008030666A2 (en) 2006-07-25 2008-03-13 The Board Of Trustees Of The University Of Illinois Multispectral plasmonic crystal sensors
DE102006037433B4 (de) * 2006-08-09 2010-08-19 Ovd Kinegram Ag Verfahren zur Herstellung eines Mehrschichtkörpers sowie Mehrschichtkörper
TWI587527B (zh) 2006-09-06 2017-06-11 美國伊利諾大學理事會 二維設備陣列
EP2064734B1 (en) 2006-09-20 2019-01-16 The Board of Trustees of the University of Illionis Method of printing transferable functional structures
US8685417B2 (en) 2006-12-20 2014-04-01 Arkema, Inc. Polymer encapsulation and/or binding
US8742251B2 (en) * 2006-12-20 2014-06-03 Jds Uniphase Corporation Multi-segment photovoltaic power converter with a center portion
CN102176486B (zh) 2007-01-17 2015-06-24 伊利诺伊大学评议会 通过基于印刷的组装制造的光学系统
US9508890B2 (en) * 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
WO2009011709A1 (en) 2007-07-19 2009-01-22 The Board Of Trustees Of The University Of Illinois High resolution electrohydrodynamic jet printing for manufacturing systems
KR101755207B1 (ko) 2008-03-05 2017-07-19 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 펴고 접을 수 있는 전자장치
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US8946683B2 (en) * 2008-06-16 2015-02-03 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US8679888B2 (en) 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
US9068278B2 (en) * 2008-12-08 2015-06-30 Alta Devices, Inc. Multiple stack deposition for epitaxial lift off
KR101046064B1 (ko) 2008-12-11 2011-07-01 삼성전기주식회사 박막소자 제조방법
KR101706915B1 (ko) * 2009-05-12 2017-02-15 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
JP6046491B2 (ja) 2009-12-16 2016-12-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ コンフォーマル電子機器を使用した生体内での電気生理学
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US9057994B2 (en) * 2010-01-08 2015-06-16 The Board Of Trustees Of The University Of Illinois High resolution printing of charge
KR101837481B1 (ko) * 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 생체흡수성 기판 상 이식가능한 바이오의료 장치
US8562095B2 (en) 2010-11-01 2013-10-22 The Board Of Trustees Of The University Of Illinois High resolution sensing and control of electrohydrodynamic jet printing
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
US8934965B2 (en) 2011-06-03 2015-01-13 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US9555644B2 (en) 2011-07-14 2017-01-31 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
EP2786644B1 (en) 2011-12-01 2019-04-10 The Board of Trustees of the University of Illionis Transient devices designed to undergo programmable transformations
KR20150004819A (ko) 2012-03-30 2015-01-13 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 표면에 상응하는 부속체 장착가능한 전자 장치
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022091670A1 (ja) 2020-10-30 2022-05-05 信越化学工業株式会社 発光ダイオード供給基板の製造方法、発光ダイオードディスプレイの製造方法、発光ダイオードディスプレイの分割ユニットの製造方法、及び素子供給基板の製造方法

Also Published As

Publication number Publication date
TW200832513A (en) 2008-08-01
CN101517700A (zh) 2009-08-26
EP2064734B1 (en) 2019-01-16
MY149190A (en) 2013-07-31
TWI438827B (zh) 2014-05-21
JP2010504649A (ja) 2010-02-12
CN103956336A (zh) 2014-07-30
JP5805712B2 (ja) 2015-11-04
KR20090078803A (ko) 2009-07-20
KR101588019B1 (ko) 2016-02-12
JP2016040828A (ja) 2016-03-24
KR20140016432A (ko) 2014-02-07
US7932123B2 (en) 2011-04-26
US9349900B2 (en) 2016-05-24
JP6238141B2 (ja) 2017-11-29
JP2013247372A (ja) 2013-12-09
WO2008036837A3 (en) 2009-05-14
KR20140141662A (ko) 2014-12-10
WO2008036837A2 (en) 2008-03-27
CN103956336B (zh) 2019-08-16
EP2064734A4 (en) 2014-03-26
KR101430587B1 (ko) 2014-08-14
US20110171813A1 (en) 2011-07-14
US20140361409A1 (en) 2014-12-11
US20110316120A1 (en) 2011-12-29
CN101517700B (zh) 2014-04-16
EP2064734A2 (en) 2009-06-03
KR101615255B1 (ko) 2016-05-11
US8895406B2 (en) 2014-11-25
US20080108171A1 (en) 2008-05-08

Similar Documents

Publication Publication Date Title
JP6238141B2 (ja) 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法
TWI533459B (zh) 可印刷半導體結構及製造和組合之相關方法
US7799699B2 (en) Printable semiconductor structures and related methods of making and assembling
Baca et al. Printable single‐crystal silicon micro/nanoscale ribbons, platelets and bars generated from bulk wafers
TWI419202B (zh) 大面積薄型單晶矽之製作技術
CN101632156A (zh) 可印刷半导体结构以及相关制造和组装方法
CN103374751A (zh) 具有微构造的外延结构体的制备方法
CN103378236A (zh) 具有微构造的外延结构体
US9218965B2 (en) GaN epitaxial growth method
KR20070109462A (ko) 위치 선택적 수직형 나노선 성장 방법, 수직형 나노선을포함하는 반도체 나노 소자 및 이의 제조 방법
Baca Fabrication techniques for unusual electronic systems: Silicon microstructures for photovoltaic modules
KR20130064134A (ko) 프린터블 반도체 구조들 및 관련 제조 및 조립 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100831

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130408

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130415

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130508

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130711

R150 Certificate of patent or registration of utility model

Ref document number: 5319533

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250