CN101517700B - 用于制造可转移半导体结构、器件和器件构件的松脱策略 - Google Patents

用于制造可转移半导体结构、器件和器件构件的松脱策略 Download PDF

Info

Publication number
CN101517700B
CN101517700B CN200780034881.7A CN200780034881A CN101517700B CN 101517700 B CN101517700 B CN 101517700B CN 200780034881 A CN200780034881 A CN 200780034881A CN 101517700 B CN101517700 B CN 101517700B
Authority
CN
China
Prior art keywords
layer
substrate
sandwich construction
loose
functional layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200780034881.7A
Other languages
English (en)
Other versions
CN101517700A (zh
Inventor
J·A·罗杰斯
R·G·诺奥
M·梅尔特
高興助
J·尹
E·梅纳德
A·J·巴卡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Illinois
Original Assignee
University of Illinois
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Illinois filed Critical University of Illinois
Priority to CN201410111306.4A priority Critical patent/CN103956336B/zh
Publication of CN101517700A publication Critical patent/CN101517700A/zh
Application granted granted Critical
Publication of CN101517700B publication Critical patent/CN101517700B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0735Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type comprising only AIIIBV compound semiconductors, e.g. GaAs/AlGaAs or InP/GaInAs solar cells
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y20/00Nanooptics, e.g. quantum optics or photonic crystals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • H01L21/7813Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate leaving a reusable substrate, e.g. epitaxial lift off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • H01L29/155Comprising only semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L31/03046Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds including ternary or quaternary compounds, e.g. GaAlAs, InGaAs, InGaAsP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0725Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1844Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising ternary or quaternary compounds, e.g. Ga Al As, In Ga As P
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/0191Transfer of a layer from a carrier wafer to a device wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/52PV systems with concentrators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1168Gripping and pulling work apart during delaminating
    • Y10T156/1195Delaminating from release surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Ceramic Engineering (AREA)
  • Biophysics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Photovoltaic Devices (AREA)
  • Recrystallisation Techniques (AREA)
  • Weting (AREA)
  • Led Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Light Receiving Elements (AREA)
  • Electroluminescent Light Sources (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

提供了如下的方法,其通过提供具有多个功能层和多个松脱层的多层结构,并通过利用分离一个或多个松脱层而将功能层从多层结构分离以产生多个可转移结构,来制造器件或器件构件。所述可转移结构被印刷到器件衬底或由器件衬底支撑的器件构件上。所述方法和系统提供了用于高质量且价格低廉地制造光电器件、可转移半导体结构、(光)电器件和器件构件的方式。

Description

用于制造可转移半导体结构、器件和器件构件的松脱策略
相关申请的交叉引用
本申请要求对在2006年9月20日提交的申请号为60/826,354以及在2007年6月18日提交的申请号为60/944,653的美国临时专利申请的权益,上述每个专利申请均通过引用并入本申请,该引用达到上述申请与本公开内容不矛盾的程度。
背景技术
多种不同平台可用于在器件衬底或由器件衬底所支撑的器件构件上印刷结构,包括纳米结构、微米结构、柔性电子器件和多种其他图案化的结构。例如,多个专利和专利申请描述了用于制造和印刷多种结构的不同方法和系统,包括美国专利申请No.11/115,954(4/27/2005提交的18-04)、11/145,574(6/02/2005提交的38-04A)、11/145,542(6/02/2005提交的38-04B)、11/423,287(6/09/2006提交的38-04C)、11/423,192(6/09/2006提交的41-06)、11/421,654(6/01/2006提交的43-06)、60/826,354(9/20/2006提交的151-06P),上述每个专利申请通过引用并入本文,该引用达到与本文不矛盾的程度。当前需要用于制造可转移半导体元件的方法和结构。特别地需要可与制造器件和器件构件的高产出过程兼容的成本低廉的方法和结构。
发明内容
本发明提供了多种方法和相关的系统以帮助成本低廉地生产能够在器件衬底或器件衬底上的器件构件上进行印刷的结构。这通过提供被构造为可提供对单个层的获得的多层结构的堆叠来实现。作为功能层的各层有特定用途,其中功能层被顺序地引入器件和器件构件。单个层通过松脱策略而获得,所述松脱策略使得可以顺序地逐层获得,或者同时获得两个或更多个层。这些功能层能够通过多种印刷方法和系统被印刷到或引入器件或器件构件。这些多层堆叠系统提供如下能力:其能够在单一过程中产生包含在多个层中的多个可印刷或可转移的功能结构,从而降低每个可印刷或可转移结构或层的成本,并降低最终器件或器件构件的最终成本。
在一方面,本发明提供如下方法,其用于通过具有可被引入光电器件的太阳能电池中的多个功能层的多层结构,来制造低成本和/或高性能的光电器件。该多层方法由于多种原因而有利。例如,多个太阳能电池可在一个沉积过程中生长,从而避免目前由单一层制造方法所需要的加载和卸载生长室、制备生长衬底表面、以及沉积缓冲层。这就导致每个太阳能电池层的制造成本明显下降,从而降低太阳能电池器件构件的成本。另外,将功能层从母衬底完全剥脱(lift off)的能力提供了通过在同一母衬底上构建另外的多层结构来重新使用母衬底的能力。此外,多层构造容易散热(heat sunk),并且能够提供可转移结构,该可转移结构易于印刷到具有多种形状特点的塑料和其他衬底上。
在一实施方案中,提供了一种方法,其用于通过提供具有多个功能层和多个松脱层的多层结构来制造器件或器件构件。在这种构造中,松脱层的至少一部分位于功能层之间以使得可以获得功能层。通过从一个或多个所述功能层分离一个或多个所述松脱层或其一部分,将所述功能层的至少一部分从所述多层结构松脱。这种功能层的松脱产生能够被印刷到衬底上的结构。通过本领域中已知的任意印刷装置(例如接触印刷、液体印刷、干式转移接触印刷、软式光刻微转移印刷和软式光刻纳米转移印刷、溶液印刷、流体自组装、喷墨印刷、热转移印刷和丝网印刷),例如通过接触印刷,通过将这些可转移结构中的一个或多个印刷到器件衬底或由器件衬底支撑的器件构件上,制造出器件或器件构件。
松脱被广泛使用并指代用于将多层结构中的一个层的至少一部分与其他层分离的任意方式。例如,将功能层的至少一部分从多层结构松脱的步骤可通过物理分离至少一对相邻层来实施。所述相邻层可为在多层结构中与功能层相邻的松脱层。松脱层被构建为响应于松脱刺激而帮助松脱功能层的至少一部分。例如,松脱刺激可包括去除松脱层的至少一部分的化学或物理刺激,从而帮助松脱相邻的功能层。然而,可以使用能够影响目标松脱层的任意刺激。松脱步骤的其他实例包括但不限于蚀刻一个或多个松脱层、热冲击一个或多个松脱层、通过将松脱层暴露于来自激光源的电磁辐射而烧蚀一个或多个松脱层、以及通过将松脱层与化学试剂接触而分解一个或多个松脱层。一方面,功能层通过位于层端部处的锚定装置连接到相邻层,因此通过在这些端部处底切实现松脱以剥脱功能层。或者,锚可被设置为牺牲层或松脱层中的图案,从而提供固定到相邻层或衬底的锚。这些锚在可断裂束缚点的设计中进一步提供灵活性,以便利于功能层部分的受控剥脱。可选地,在本文所公开的任一方法中,保持连接到已剥脱的功能层的层被去除。在一方面,通过将多层结构与诸如弹性印模等的印模接触实现剥脱。可选地,使用印模以帮助将剥脱结构接触印刷于一表面。
为了帮助将信号传送到松脱层,信号所经过的功能层中的任意一个或多个能够至少部分地传送信号。例如,对于一个电磁辐射的信号,功能层对于能够烧蚀松脱层至少一部分的电磁辐射至少部分地透明。或者,如果电磁辐射从相反一侧发射,例如从支撑所述多层结构的衬底的另一侧发射,则该衬底对于该电磁辐射至少部分地透明。
另一松脱方式为位于松脱层中的界面裂缝。这种裂缝通过将应力施加到系统,例如施加到松脱层,而帮助将一个或多个功能层剥脱。可以通过本领域已知的任意方式引入裂缝,这些方式包括但不限于机械、化学或热生成的力。
在一方面,在此公开的任一方法可进一步包括对多层结构的至少一部分进行掩蔽。例如,掩模层与一个或多个功能层物理接触。此类掩模能够至少部分地防止一个或多个功能层暴露于蚀刻剂、溶剂或化学试剂,该蚀刻剂、溶剂或化学试剂被提供作为松脱信号以将所述功能层的至少一部分从多层结构松脱。此类掩模可用于其中功能层为昂贵且易于被诸如蚀刻剂等的松脱信号损坏的高质量层的应用中。
在另一方面,一承载膜被设置为与一个或多个功能层接触,以进一步帮助进行将所述功能层的至少一部分从多层子结构松脱的步骤。
在此提供的方法和系统可用于产生具有多种几何形状的多种可转移结构。因此,所述方法能够并入用于多种器件和器件构件制造的多种器件生产过程。在一方面,可转移结构具有层型几何形状。在另一方面,通过本领域已知的任意方法设置凹进特征部,从而使至少一个功能层产生具有一个或多个预选的微米级或纳米级的外形尺寸的可转移结构。例如,在至少一个功能层中凹进特征部的产生可任选地使用图案化技术实现,例如光刻、软式光刻、电子束直接成像或光蚀除图案化的图案化技术。
本发明的功能层应用广泛,并且指代在器件或器件构件中使用的材料。一种可广泛用于各种器件和器件构件的功能层为具有半导体或一序列(例如多个)的半导体层的多层。功能层组成和几何形状的选择取决于该功能层的最终应用或功能。例如,所述一序列的半导体层可为选自如下组的至少一个半导体层,所述组包括:单晶半导体层、有机半导体层、无机半导体层、III-V半导体层;和第IV族单质或化合物半导体。在另一方面,所述一序列的半导体层为具有不同半导体材料的至少两个半导体层。在一方面,至少一个功能层由一个或多个介电层或一个或多个导电层制成。在一个实施方案中,在多层中的一个功能层可不同于其他功能层。在一个实施方案中,在多层中的所有功能层相同。在一个实施方案中,在多层中的一个功能层为多个单层的复杂配置,例如多个半导体层。在作为本申请一部分而包含的附图中,从这些功能层得出的结构称为“功能材料元件或器件”(FMED)。
在此描述的某些方法中可用的其他功能层包括但不限于作为电子、光学或光电器件,或电子、光学、光电器件的构件,作为P-N结、薄膜晶体管、单结太阳能电池、多结太阳能电池、光电二极管、发光二极管、激光器、CMOS器件、MOSFET器件、MESFET器件或HEMT器件的一部分的构件的功能层。
在一个实施方案中,任一所述多层结构产生于衬底上。在一方面,至少一个松脱层设置在多层结构和衬底之间,例如松脱层位于功能层和衬底之间。在另一方面,松脱层并不设置在多层结构和衬底之间。在这种情况下,母衬底和/或相邻功能层提供将功能层从衬底松脱的能力。在一方面,母衬底自身为松脱层。
多层结构以及具体地该多层结构的单独层,如本领域所公知的,可沉积或生长在衬底表面上。例如,用于在表面上生长或沉积层的任意一种或多种方式可选自各种不同技术,包括但不限于:外延生长、蒸发沉积、气相外延、分子束外延、有机金属化学气相沉积、化学气相沉积、物理气相沉积、溅射沉积、溶胶凝胶涂布、电子束蒸发沉积、等离子体增强型化学气相沉积;原子层沉积、液相外延、电化学沉积和旋涂。采用这种方式,多个可转移结构产生自一个系统,并且一旦最后的功能层(例如,最靠近衬底表面的层)松脱,衬底可任选地再次使用。与其中衬底本身被损坏、毁坏或者被引入最终器件或器件构件的情况下进行的制造过程相比,这种重新使用节约成本。
多层结构可选地包括功能层和/或松脱层,其具有在衬底上外延生长的预定顺序的薄膜,例如交替的松脱层和功能层。在一个实施方案中,功能层具有选自约5nm到约50,000nm范围的厚度。在一个实施方案中,多层结构具有约2个到约200个功能层和/或约2个到约200个松脱层。松脱层根据系统的构造可以薄至1nm。在其他实施方案中,松脱层可较厚,例如在约1μm和2μm之间。松脱层材料的组成的实际选择可基于多个参数进行,例如是否希望生长高质量功能层(例如外延生长)。如果生长是非外延的,则可放松对松脱层组成的限制。另外,松脱层组成应该与用于将功能层从多层结构松脱的松脱策略相适应。例如,如果松脱机制通过断裂进行,则可选择杨氏模量以帮助优化断裂。
许多不同器件能够使用在此公开的任意方法制成。在一方面,本发明提供一种方法,其制造光电器件或器件阵列、晶体管器件或器件阵列、发光二极管器件或器件阵列、激光器或激光器阵列、传感器或传感器阵列、集成电路、微机电器件或纳米机电器件。
在一个实施方案中,本发明的任一方法用于制造可转移半导体结构。例如,可转移半导体结构由具有一个或多个半导体薄膜的功能层的至少一部分制成,并且通过将一个或多个松脱层或其一部分与一个或多个功能层分离,来将功能层的至少一部分从多层结构松脱。类似地,提供了通过提供功能层的至少一部分来制造光电器件或器件阵列的方法,所述功能层本身为光电电池,例如具有预定顺序的半导体薄膜的光电电池。
在另一实施方案中,本发明为一种用于制造器件或器件构件的方法,其中,一个牺牲层被设置在衬底表面的至少一部分上。牺牲层广泛用于指代帮助将功能层从衬底去除的材料。牺牲层具有用于承接功能层材料的承接表面。牺牲层通过本领域已知的任意方式选择性地图案化,从而以相应的图案显露下方的衬底或者衬底上的薄膜或涂层。当功能层被依次沉积时,所暴露的衬底的图案对应于功能层的潜在锚定区域。具体而言,所沉积的功能层具有两个区域:对应于牺牲层中图案化区域的“锚定区域”以及在该处存在将功能层与下面的衬底分离的牺牲层的“非锚定区域”。锚可用作桥接元件,其帮助在对应于非锚定区域的图案中将功能层受控剥脱。功能层的一部分被松脱,其中功能层锚的图案保持至少部分地锚定于衬底,并且至少一部分未锚定于衬底的功能层被松脱,从而产生多个可转移结构。所述可转移结构可选地被印刷到器件衬底或由器件衬底支撑的器件构件上,从而制造器件或器件构件。可使用本领域已知的任意印刷方式,例如如本文所述的接触印刷或溶液印刷。
在一个实施方案中,松脱步骤包括将弹性印模与功能层的至少一部分接触,然后将印模与功能层脱离接触,从而去除未锚定于衬底的功能层的至少一部分。
在另一实施方案中,松脱步骤使用选自如下组的技术,所述组包括:蚀刻牺牲层、热冲击牺牲层、通过将牺牲层暴露于来自激光源的辐射而烧蚀或分解、以及通过将牺牲层与化学试剂接触而分解牺牲层。然后功能层可选地通过本领域已知的任意方式去除或收回,例如通过选择性地将功能结构从锚上断裂的印模,从而提供可与最初施加在牺牲层上的图案相对应的印刷出的功能结构。
在一个实施方案中,在此公开的任意提供锚的图案化过程被并入本发明的多层方法。例如,图案化可用于本发明的将功能层分离的一个或多个松脱层,以提供可控地松脱多个功能材料和/或功能层的另外的方式。
在另一实施方案中,本发明为一种用于制造设置为多层阵列中的多个可转移半导体元件的方法。此类过程提供由单个层和/或多层制造大量元件,所述多层的每一层能够产生多个元件,并提供额外的元件加工的能力,包括连接到下方表面的元件的加工。例如,所述方法可包括提供具有外表面的晶片的步骤,例如提供包括无机半导体的晶片。通过将第一掩模设置在外表面上而对外表面的选定区域进行掩蔽,从而产生外表面的掩蔽区域和未掩蔽区域。通过蚀刻晶片外表面的未掩蔽区域产生从外表面延伸到晶片的多个起伏特征部。由此,至少一部分所述起伏特征部各自均具有至少一侧的弯曲侧表面,该弯曲侧表面具有沿着所述至少一侧的长度在空间上变化的弯曲轮廓。另一掩蔽步骤,其中第二掩模对所述弯曲侧表面进行掩蔽,其中所述弯曲侧表面仅部分地被第二掩模掩蔽。这就产生沿着该侧表面的长度的掩蔽区域和未掩蔽区域。未掩蔽区域被蚀刻以产生被设置在多层阵列中的多个可转移半导体元件。
这些方法中的任一种可选地使用作为体(bulk)半导体晶片的晶片,例如具有(111)取向的硅晶片。
在一方面,通过将凹进特征部的侧表面循环暴露于蚀刻剂和蚀刻阻挡材料,例如通过将凹进特征部的侧表面循环暴露于反应离子蚀刻剂和蚀刻阻挡材料,来实行蚀刻晶片的外表面的未掩蔽区域的步骤。在另一方面,使用感应耦合等离子体反应离子蚀刻、缓冲氧化物蚀刻剂或感应耦合等离子体反应离子蚀刻和缓冲氧化物蚀刻剂组合的蚀刻技术,来执行蚀刻步骤。
在一个实施方案中,所述弯曲侧表面的弯曲轮廓具有多个特征部延伸长度,所述特征部延伸长度与所述侧表面的长度的纵轴线相交。例如,所述弯曲轮廓可为设置在所述侧表面上的脊、纹波和/或圆齿形凹进特征部。在通过设置第二掩模而对所述弯曲侧表面进行掩蔽的步骤期间,所述脊、纹波或裙边形凹进特征部用作遮蔽掩模,从而产生所述侧表面的所述未掩蔽区域。
在本发明的一方面,通过设置第二掩模而对所述弯曲侧表面进行掩蔽的步骤通过掩模材料的有角度的气相沉积来实施。
在一方面中,通过各向异性蚀刻,例如采用作为具有(110)取向的硅晶片的晶片,来执行对侧表面的未掩蔽区域进行蚀刻的步骤,并且所述对侧表面的未掩蔽区域的蚀刻优选地沿着所述硅晶片的<110>方向通过各向异性蚀刻来执行。所述各向异性蚀刻可选地通过将所述侧表面的未掩蔽区域暴露于强碱来实施。
在一个实施方案中,对于侧表面的未掩蔽区域的蚀刻产生可转移半导体元件,其中每个该半导体元件经由桥元件连接到晶片。
任一个所述系统均可选地具有作为蚀刻阻挡掩模的掩模,例如作为蚀刻阻挡掩模的第一和第二掩模。
在另一方面中,本发明为一种方法,该方法通过使用在此公开的任意一种过程提供多个可转移半导体元件,然后将所述可转移半导体元件印刷在衬底上,从而将多个可转移半导体元件组装在衬底上。例如提供的是制造电子器件或电子器件的构件的方法,所述方法包括通过本发明的过程提供设置为多层阵列的多个可转移半导体元件的步骤。可转移半导体元件被印刷在衬底上,从而制造电子器件或电子器件的构件。在此公开的任意方法使用通过接触印刷实施的印刷步骤。在此公开的任意方法具有通过依次在多层的不同层中印刷可转移半导体来实施的印刷步骤。
在一个实施方案中,在阵列的第一层中印刷半导体元件使得在位于该第一层下方的阵列的层中的一个或多个可转移半导体元件暴露。
本发明的另一实施方案为通过同质和/或异质锚定策略制造可转移半导体元件的方法。相比于非锚定系统和过程,此类锚定提供了许多优点,例如更为有效地使用支撑可转移元件的晶片、增强转移控制和提高定位转移。特别地,锚或桥元件提供对于被松脱或转移的元件的几何形状的局部控制。
“同质锚定”(例如图20、35、37)指代作为功能层的整体部分的锚。通常,通过同质锚定系统来制造可转移元件的方法可选地包括:提供晶片,将牺牲层沉积在晶片表面的至少一部分上,通过本领域已知的任意方式限定半导体元件,并限定锚定区域。锚定区域对应于半导体元件的具体区域。锚定区域可对应于半导体层的几何形状,例如,锚由相对较大的表面积限定,并通过桥或束缚元件连接到可转移元件(例如见图19、20、37)。这种几何形状提供一种在单层或多层实施方案中帮助剥脱具体的非锚定区域的方式。或者,锚对应于附接到或连接到下方晶片的半导体区域(例如图35)。去除牺牲层提供了一种用于去除或转移半导体元件同时半导体的物理连接到下方晶片的部分得到保持的方式。
“异质锚定”(例如图21、22)指代并非功能层的整体部分的锚,例如由与半导体层不同的材料制成的或由相同材料制成但是在可转移半导体元件被放置在系统中之后被限定的锚。相比于同质锚定,异质锚定的一个优点涉及更佳的转移限定策略以及对于有效的可用晶片覆盖面积(footprint)的进一步改进。在异质策略的实施方案中,提供一种晶片,该晶片被涂布以牺牲层,限定半导体元件,并且异质锚元件被沉积在锚定半导体区域。在一方面,锚为阻挡材料,例如光致抗蚀剂或SiN(氮化硅),或者其他具有一定程度的刚性从而能够锚定且抵抗剥脱力的材料,该剥脱力在非锚定区域不这样被抵抗。锚可从最顶部半导体层经下方的层延伸至下方的晶片衬底。去除牺牲层提供了一种用于去除非锚定区域同时使锚定区域保持连接到晶片的方式,例如通过接触转移。在另一实施方案中,对于多层系统,锚提供顶层到下方半导体层的锚定。或者,锚定系统用于单层半导体层系统。
可选地通过使用本领域中已知的任意方式将牺牲层、功能层和松脱层中的一个或多个图案化,来产生暴露的晶片衬底和/或暴露的下方的半导体层,从而制造任意的锚定系统。这些锚定系统可用于制造多个可转移半导体元件,并可用于由所述可转移半导体元件制造电子器件或器件构件。
附图说明
图1为衬底上的多层结构的示意图。B为功能层和松脱层构造的局部放大图。
图2A图示通过去除牺牲层和掩蔽结构实现的松脱。图2B为概括了在使用封闭掩模层松脱FMED的过程中所含的各步骤的流程图。图2C为用于将用于金属半导体场效应晶体管(MESFET)的FMED松脱的衬底的实例。
图3对比用于将松脱层从多层结构中分离的两个不同方案:A.为同时去除两个或更多个松脱层;B.为一次一层地去除松脱层。在C-E中提供了包含各种功能层(例如,功能材料元件或器件(FMED))和松脱层的多层结构。表2再现了图3E中提供的功能层的复杂的分层配置。
图4为通过“一次多层”过程来松脱用于光电器件的FMED同时可选地重新使用衬底的流程图。
图5为通过“一次一层”过程来松脱用于光电器件的FMED同时可选地重新使用衬底的流程图。
图6概括了使用激光烧蚀来分离松脱层。A图示全过程。B提供用于通过激光烧蚀来松脱用于LED的FMED的结构的实例。C为概括用于通过激光烧蚀来松脱用于LED的FMED的一个过程的流程图。
图7概括了通过在FMED和母衬底之间的界面处引入裂缝,然后将FMED拉离母衬底(例如使用橡胶印模(rubber stamp))以扩展裂缝所实现的松脱。A图示全过程。B为概括通过扩展由化学方式引入的裂缝而对用于LED的FMED进行松脱的过程的流程图。
图8概括了通过在FMED和母衬底之间的界面处引入裂缝,然后将FMED拉离母衬底所实现的使用承载膜进行的松脱。A图示全过程。B提供用于通过扩展裂缝而对FMED进行松脱的结构的实例。C为概括了使用承载膜并将机械引入的裂缝进行分离而对FMED(SWNT阵列)进行松脱的一个过程的流程图。
图9图示一个可重复循环,该可重复循环将两个或更多个松脱层的同时松脱与母衬底的再使用相组合。在该实例中,在母衬底上制备FMED层和牺牲层,制造FMED,去除牺牲层以松脱FMED,并重复上述过程。A图示全过程。在图4-5中提供了相应过程的流程图。
图10为衬底的结构图示,该衬底用于通过选择性地去除牺牲层来松脱多晶/无定形FMED材料,在此所示的为多层几何结构(4个牺牲层)。
图11为用于通过扩展一被化学引入的裂缝而去除FMED的衬底的结构图示。
图12为通过去除牺牲层而松脱无定形或多晶FMED结构的过程流程图。
图13A为作为波长函数的光谱辐照度的图表,其图示了通过Si太阳能电池的热能化和传递损失。B为作为结点数量的函数的太阳能电池的理论限制的曲线。另外标绘出了通过单晶型和多晶型太阳能电池获得的值。来自Dimroth和Kurtz,“High Efficiency MultijunctionSolar Cells”MRS Bull.32:230(2007)。
图14示出晶格和电流匹配提供了高质量器件。来自Dimroth和Kurtz“High Efficiency Multijunction Solar Cells”MRS Bull.32:230(2007)。
图15概括了In0.5Ga0.5P/GaAs器件的属性(左边)和相关结构(右边)(来自Takamoto等人“Over30%efficient InGaP/GaAs tandemsolar cell”App.Phys.Letters70:381(1997))。
图16为用于提供成本低廉且高性能的太阳能电池层的多层结构的示意图。
图17为由在硅晶片的表面上创建的多层堆叠一次一层地转移印刷硅微/纳米带的有组织阵列的各步骤的示意图。所述带阵列可以印刷到多种衬底上,包括在此所示的柔性塑料。左边的虚线方框图示了右边的被放大的区域。
图18为支撑多个带的多层堆叠(顶部画面)的Si(111)晶片(顶部画面)的扫描电子显微照片。底部画面为所述带的SEM,其中插入一张光学照片(比例尺(scale bar)2mm)。
图19为通过一次多个地部分地去除松脱层(牺牲层)(也见图3A)而部分地松脱功能层的示意图。所述松脱称为“部分地”,因为在松脱层被部分地去除后器件保持束缚于衬底。器件的完全松脱或分离随着它们的去除而发生,例如通过束缚结构的断裂并使用弹性印模进行回收而发生。另外概括示出的是去除锚定结构以制备用于重新沉积多层堆叠的衬底的各步骤。
图20为通过一次一个地部分地去除松脱层(牺牲层)(也见图3B)而将功能层部分地松脱的示意图。松脱被称为“部分地”,因为在部分地去除松脱层后器件保持束缚于衬底。器件在被去除时发生完全松脱或分离,该去除例如通过束缚结构的断裂并使用弹性印模进行回收而发生。该图还概括示出如下步骤:去除锚定结构,以制备用于重复“一次一层松脱过程”(如图3B所示)的衬底以及制备用于重新沉积多层堆叠的衬底。
图21为使用横向蚀刻阻止部或锚定柱通过一次去除数个(也见图3A)松脱层(牺牲层)来部分地松脱功能层的示意图。松脱被称为“部分地”,因为在去除松脱层后,器件通过横向蚀刻阻止部/锚定柱保持束缚于衬底。器件在被去除时发生完全松脱或分离,该去除例如通过束缚结构的断裂并使用弹性印模进行回收而发生。该图还概括示出了如下步骤:去除横向蚀刻阻止部/锚定柱,以制备用于重新沉积多层堆叠的衬底。
图22为使用横向蚀刻阻止部或锚定柱通过一次去除一个(也见图3B)松脱层(牺牲层)来部分地松脱功能层的示意图。松脱被称为“部分地”,因为在去除松脱层后,器件通过横向蚀刻阻止部/锚定柱保持束缚于衬底。器件在被去除时发生完全松脱或分离,该去除例如通过束缚结构的断裂并使用弹性印模进行回收而发生。该图还概括示出如下步骤:去除横向蚀刻阻止部/锚定柱以重复“一次一层松脱过程”(如图3B所示)并制备用于重新沉积多层堆叠的衬底。
图23为在功能层已经通过类似于图20所示过程被部分地松脱后利用抗粘滞层或活化层进行的松脱后处理的示意图。抗粘滞层或活化层经常为自组装单层(SAM),用于防止已松脱层和下面的层之间的粘附(抗粘滞),或促进在已松脱层和第二材料(例如,弹性印模、纳米微粒、生物体等等)之间的粘接(活化)。
图24图示塑料上印刷的薄膜iLED。在左图中提供了iLED外延层结构。这些LED被示为能够从晶片上松脱,但并不从堆叠结构中松脱。然而,这些LED可选地在一多层结构中被松脱,如在此所公开的。
图25为由传统的体Si(111)晶片制造具有多层堆叠构造的大量单晶硅微/纳米带的步骤的示意图。所述过程利用了产生带有刻蚀侧壁的沟槽的专用蚀刻过程、浅角定向物理气相沉积和各向异性湿式化学蚀刻的组合。左边的虚线框图示了在右边的被放大的区域。BOE代表缓冲的氧化物蚀刻剂。
图26为在制造多层堆叠的带的不同阶段在有角度的视图(a、c、e、g)和截面(b、d、f、h)视图下的Si(111)晶片的一系列扫描电子显微照片:(a和b)在竖直蚀刻(ICPRIE)以形成带有纹波状侧壁的沟槽之后;(c和d)在浅角物理气相沉积金属掩模层之后;(e到h)在各向异性湿式化学蚀刻(KOH)达2分钟(e和f)之后和5分钟之后(g和h)并接着去除金属。
图27为从晶片松脱之后Si(111)带的(a)照片和(b和c)光学显微照片。不同的放大程度的如(a)所示的带的扫描电子显微照片(d-f)。
图28为(a)四层堆叠的Si(111)带的大的对准阵列的照片。(a)中所示样品的俯视图(b和c)以及有角度的视图(d和e)的扫描电子显微照片。在带的端部处的锚定结构使它们通过保持它们由光刻限定的位置的方式连接到下方的晶片,即使在它们已经被各向异性蚀刻剂完全底切之后也是如此。
图29为(a)转移印刷到聚二甲基硅氧烷衬底上的对准的Si(111)带的光学照片。(b)来自如(a)所示的阵列的四个带的原子力显微镜图像和线扫描。支撑Si(111)带阵列的四个分离的小块的柔性聚酯膜的照片,该四个分离的小块使用单次处理的Si芯片的四周期转移印刷而产生。
图30为(a)使用用于半导体的硅带的晶体管的横截面示意图。(b)器件的俯视光学显微照片。(c)转移曲线,和(d)来自典型器件的全电流/电压特性。
图31示出根据不同STS-ICPRIE条件的各种侧壁以及具有不同厚度的硅纳米带。
图32示出遮蔽掩模程度相对于电子束蒸发的角度。
图33示出EDAX能量色散谱(EDS)研究结果。
图34示出一系列的7层Si带。
图35为使用图案化牺牲结构的锚定松脱的示意图。
图36为图35的过程的一个实例,其中Au从PECVD SiOx上松脱。
图37提供由被待松脱的Al0.9Ga0.1As层(每层100nm厚)隔开的七个GaAs层(每层200nm厚)形成的多层结构的SEM图像。A为立体图(比例尺20μm),B为正视图(比例尺2μm)。
图38为在七个GaAs层同时松脱后在PDMS印模上收回的图37的七个GaAs层(标注为1-7)的光学显微照片。不带任何层的清洁的供体芯片被标注为“供体芯片”。标注为“8”的印模显示没有明显的GaAs结构保留在供体芯片上。
图39显示从多层供体衬底上通过PDMS印模剥离的GaAs层的光学照片。在A和B中的比例尺分别为1mm和50μm。
具体实施方式
参照附图,类似的附图标记指代类似的元件,并且出现在不止一幅附图中的相同编号指代相同元件。另外,下文中应用如下定义:
“可转移”或“可印刷”可互换地使用,并且涉及能够转移、组装、图案化、组织和/或集成到衬底之上或之中的材料、结构、器件构件和/或集成的功能性器件。在一实施方案中,“可转移”表示结构或元件从一个衬底直接转移到另外一个衬底,例如从多层结构转移到器件衬底或由器件衬底所支撑的器件或元件。或者,“可转移”表示通过诸如印模等的中间衬底而被印刷的结构或元件,该中间衬底将所述结构或元件剥脱,接着将所述结构或元件转移到器件衬底或器件衬底上的构件上。在一实施方案中,在衬底不暴露于高温(即,温度低于或等于约400摄氏度)的情况下进行印刷。在本发明一个实施方案中,可印刷或可转移材料、元件、器件构件和器件能够通过溶液印刷或干式转移接触印刷而转移、组装、图案化、组织和/或集成到衬底之上或之中。类似地,“印刷”宽泛地用于指代转移、组装、图案化、组织和/或集成到衬底之上或之中,所述衬底例如为用作印模的衬底或自身作为目标(例如器件)衬底的衬底。此类直接转移印刷使多层结构的功能性顶层可向器件衬底进行成本低廉且可简单重复的转移。这就实现了例如从晶片到目标衬底的通用(blanket)转移,而不需要单独的印模衬底。“目标衬底”宽泛地用于指代将支撑被转移结构的期望的最终衬底。在一实施方案中,目标衬底为器件衬底。在一实施方案中,目标衬底为自身被衬底所支撑的器件构件或元件。
本发明的“可转移半导体元件”包括能够例如通过干式转移接触印刷和/或溶液印刷方法等被组装和/或集成到衬底表面上的半导体结构。在一个实施方案中,本发明的可转移半导体元件为整体单晶、多晶或微晶的无机半导体结构。在本说明书的上下文中,整体结构为具有被机械连接的特征部的单块元件。本发明的半导体元件可掺杂或不掺杂,可具有选定的掺杂剂空间分布,并可被掺杂以多种不同的掺杂剂材料,包括P型和N型掺杂剂。本发明包括:微结构的可转移半导体元件,其具有至少一个大于或等于约1微米的横截面尺寸;和纳米结构的可转移半导体元件,其具有至少一个小于或等于约1微米的横截面尺寸。可用于多种应用的可转移半导体元件包括通过“自上而下(top down)”处理高纯度体材料而获得的元件,所述高纯度体材料例如使用传统的高温处理技术而产生的高纯度晶体半导体晶片。在一个实施方案中,本发明的可转移半导体元件包括复合结构,该复合结构具有可操作地连接到至少一个另外的器件构件或结构的半导体,所述另外的器件构件或结构例如为导电层、介电层、电极、另外的半导体结构或上述的任意组合。在一个实施方案中,本发明的可转移半导体元件包括可拉伸半导体元件和/或非均质半导体元件。
“功能层”指代能够被引入到器件或器件构件中并且为该器件或器件构件提供至少部分功能的层。根据特定的器件或器件构件,功能层具有多种组成。例如,太阳能电池阵列器件可由III-V微太阳能电池起始功能层制成,包括自身由如本文所提供的多个不同的层构成的功能层。此类层的松脱和随后的印刷提供了构建光电器件或器件构件的基础。相比之下,用于引入电子器件(MESFET)、LED或光学系统的功能层可具有不同的分层构造和/或组成。因此,被引入多层结构的特定功能层取决于其中引入该功能层的最终器件或器件构件。
“松脱层”(有时称为“牺牲层”)指代至少部分地分离一个或多个功能层的层。松脱层能够被去除,或者提供其他方法以便将功能层与该多层结构的其他层分离,例如通过一个响应于例如物理、热、化学和/或电磁刺激而被物理分离的松脱层来分离。因此,实际的松脱层组成被选择为能够最佳地匹配进行分离所采用的方法。分离所用的方法为本领域已知的一种或多种分离方法,例如界面失效或松脱层牺牲。松脱层自身可以保持连接到功能层,例如保持连接到多层结构的其余部分的功能层,或者与多层结构的其余部分分离的功能层。松脱层可选地接着从功能层上分离和/或去除。
“由衬底支撑”指代至少部分地存在于衬底表面上的结构,或者至少部分地存在于位于所述结构和衬底表面之间的一个或多个中间结构上的结构。术语“由衬底支撑”也可指代部分地或完全地嵌入于衬底中的结构。
“溶液印刷”意在指代如下过程,其中,诸如可转移半导体元件等的一个或多个结构被分散到载体介质中,并以协调的方式输送到衬底表面的选定区域。在一个示例性溶液印刷方法中,将结构输送到衬底表面的选定区域通过与进行图案化的衬底表面的形态和/或物理特性无关的方法来实现。可用于本发明的溶液印刷方法包括但不限于喷墨印刷、热转移印刷和毛细作用印刷。
用于在当前方法中组装、组织和/或集成可转移半导体元件的可用的接触印刷方法包括干式转移接触印刷、微接触或纳米接触印刷、微转移或纳米转移印刷以及自组装辅助印刷。在本发明中使用接触印刷是有益的,因为它允许多个可转移半导体以选定的相对于彼此的取向和位置进行组装和集成。本发明的接触印刷还能够对各类材料和结构进行有效转移、组装和集成,所述各类材料和结构包括半导体(例如无机半导体、单晶半导体、有机半导体、碳纳米材料等等)、电介质和导体。本发明的接触印刷方法可选地以预选的相对于一个或多个器件构件的位置和空间取向提供可转移半导体元件的高精度定位转移和组装,所述一个或多个器件构件被预构造在器件衬底上。接触印刷也与多种衬底类型可兼容,包括传统的刚性或半刚性衬底,例如玻璃、陶瓷和金属,以及具有对于特定应用有吸引力的物理和机械属性的衬底,例如柔性衬底、可弯曲衬底、可成形衬底、顺应式(conformable)衬底和/或可拉伸衬底。例如,可传递半导体衬底的接触印刷组装可适于低温处理(例如,低于或等于298K)。这一属性允许本光学系统使用多种衬底材料包括在高温下分解或降解的材料来运行,例如聚合物和塑料衬底。器件元件的接触印刷转移、组装和集成也是有益的,因为它能够通过低成本高产出的印刷技术和系统来实现,例如通过卷对卷(roll-to-roll)印刷和柔版印刷方法和系统。“接触印刷”泛指诸如采用印模等的干式转移接触印刷,该印模帮助将特征部从印模表面转移到衬底表面。在一实施方案中,印模为弹性体印模。或者,所述转移可直接到达目标(例如器件)衬底。以下的参考文件涉及自组装技术,该自组装技术可用于本发明的方法中,以通过接触印刷和/或溶液印刷技术转移、组装和互连可转移半导体元件,并将以下参考文件通过引用整体并入本说明书:(1)“Guided molecular self-assembly:areview of recent efforts”,Jiyun C Huie Smart Mater.Strut.(2003)12,264-271;(2)“Large-Scale HierarchicalOrganization of Nanowire Array for Integrated Nanosystems”,Whang,D.;Jin,S;Wu,Y;Lieber,C.M.Nano Lett.(2003)3(9),1255-1259;(3)“Directed Assembly ofOne-Dimensional Nanostructures into Functional Networks”,YuHuang,Xiangfeng Duan,Qingqiao Wei,和Charles M.Lieber,Science(2001)291,630-633;和(4)“Electric-field assistedassembly and alignment of metallic nanowires,”Peter A.Smith等人,Appl.Phys.Lett.(2000)77(9),1399-1401。
“承载膜”指代帮助将层分离的材料。承载膜可为邻近期望被去除的层的一层材料,例如金属或含金属的材料。承载膜可为复合材料,包括被并入或附着在聚合材料或光致抗蚀材料,其中,施加到所述材料的剥脱力使所述复合材料从下面的层(例如功能层)松脱。
“半导体”指代在较低温度下为绝缘体但在大约300开尔文的温度下具有明显导电性的材料。在本说明书中,术语“半导体”的使用拟与该术语在微电子和电子器件领域中的使用一致。可用于本发明的半导体可包括:单质半导体,例如硅、锗和钻石;以及化合物半导体,例如诸如SiC和SiGe等的第IV族化合物半导体,诸如AlSb、AlAs、Aln、AlP、BN、GaSb、GaAs、GaN、GaP、InSb、InAs、InN和InP等的第III-V族半导体,诸如AlxGa1-xAs等的第III-V族三元化合物半导体合金,诸如CsSe、CdS、CdTe、ZnO、ZnSe、ZnS和ZnTe等的第II-VI族半导体,第I-VII族半导体CuCl,诸如PbS、PbTe和SnS等的第IV-VI族半导体,诸如PbI2、MoS2和GaSe等的层半导体,诸如CuO和Cu2O等的氧化物半导体。术语“半导体”包括本征半导体和非本征半导体,所述非本征半导体掺杂有一种或多种选定材料以提供对给定应用或器件有益的电子特性,该选定材料包括具有p型掺杂材料和n型掺杂材料的半导体。术语“半导体”包括包含半导体和/或掺杂剂的混合物的复合材料。在本发明的某些应用中可用的具体半导体材料包括但不限于,Si、Ge、SiC、AlP、AlAs、AlSb、GaN、GaP、GaAs、GaSb、InP、InAs、InSb、ZnO、ZnSe、ZnTe、CdS、CdSe、ZnSe、ZnTe、CdS、CdSe、CdTe、HgS、PbS、PbSe、PbTe、AlGaAs、AlInAs、AlInP、GaAsP、GaInAs、GaInP、AlGaAsSb、AlGaInP、和GaInAsP。多孔硅半导体材料可用于本发明在传感器和发光材料的领域中的应用,例如发光二极管(LED)和固态激光器。半导体材料的杂质为除了半导体材料本身或者提供给半导体材料的任意掺杂剂之外的原子、元素、离子和/或分子。杂质为半导体材料中存在的不希望的材料,其可对半导体材料的电子性能造成负面影响,并且包括但不限于氧、碳和包括重金属的金属。重金属杂质包括但不限于周期表上铜和铅之间的各族元素、钙、钠、以及它们的所有离子、化合物和/或络合物。
“介电”和“介电材料”意义相同地用于本说明书,并且指代高度阻抗电流流动的物质。可用的介电材料包括但不限于SiO2、Ta2O5、TiO2、ZrO2、Y2O3、Si3N4、STO、BST、PLZT、PMN和PZT。
“器件场效应迁移率”指代使用诸如晶体管等的电子器件的输出电流数据所计算的该电子器件的场效应迁移率。
本发明可进一步通过如下非限制性实施例得到理解。在此引用的所有参考文献通过引用并入本文,该引用达到不与在此公开的内容相矛盾的程度。尽管在此的描述涵盖许多特性,但它们不应被理解为限制本发明范围,而应被理解为仅仅提供本发明的某些当前优选实施方案的说明。例如,因此本发明的范围应通过所附权利要求书及其等效内容所确定,而非由给出的实施例确定。
本发明一方面提供可以通过多层处理以一种低成本的方式被并入器件或器件构件的FMED。图1中提供了具有多个功能层(FMED)20的多层结构10的一个实施例。功能层20通过松脱层30与相邻的功能层隔开。所述多个功能层20和松脱层30被支撑在衬底40上,并且功能层20自身为多个层的复合体。例如,功能层20可包括可用于太阳能电池中的如图所示的第III-V族的外延层(例如,p型掺杂GaAs顶层21、低掺杂GaAs中间层22、和n型掺杂GaAs下层23)。最下层被支撑在可被掺杂或不掺杂的Al0.9Ga0.1As的松脱层30上。松脱层30帮助获得多层结构10中的一个或多个功能层20。
通过不同种类的刺激进行的松脱的实施例包括:通过一嵌入的牺牲层或多个牺牲层的蚀刻、溶解、燃烧等等(任意去除方式)进行松脱(见表1)。例如,松脱层可以比FMED快两倍或更多倍地被选择性蚀刻/溶解/燃烧/去除,并且/或者可对结构或层进行掩蔽以保护FMED防止其暴露于用于去除牺牲层的试剂。松脱层一次一个地被去除,或者两个或更多个牺牲层被同时去除。
图2A图示带有掩模层410的多层结构10,该掩模层410涂覆功能层20的至少一部分,例如在功能层20和松脱层30之间。掩模层410可选地包括额外的掩模400,例如围绕不被掩模400所覆盖的其余部分的掩模400。在图2A中,松脱层30被标注为牺牲层,并且功能层20被标注为具有两个层的FMED。掩模400和410可用作蚀刻阻止部,以保护功能层20免受去除牺牲层30的蚀刻方式影响,从而帮助将层20从衬底40剥脱。图2B为概括了使用封闭的掩模由多层结构产生可转移FMED的过程的流程图(也见图2B)。
在图2C中提供了可用于MESFET中的结构,其中功能层20包括120nm厚的GaAs第一层21和150nm厚的AlGaAs半绝缘第二层22。松脱层30为100nm厚的Al0.96Ga0.04阻挡层,其能够帮助将30从衬底40分离。
实施例1:用于光电器件、电子器件和LED的可转移结构的松脱。
图3A-B示意性图示了用于同时去除多个松脱层(图3A)以及顺序地逐层去除松脱层(图3B)的方法和结构。在图3A中,多层结构10的一部分暴露于蚀刻方式,从而形成蚀刻访问通道35。通道35使得能够同时访问多个(在本实施例中为三个)松脱层30。由此,多个可转移结构100可用于以本领域已知的任意方式(例如,液体印刷、接触印刷等等)印刷到目标表面,例如器件衬底或被衬底支撑的器件构件。
图3B概括了逐层去除,在此,蚀刻剂访问通道35仅仅穿越最顶部的功能层20,因此仅有单个功能层20被松脱以由单个功能层20提供可转移结构100。如果必要,在引入用于去除松脱层30的化学方式之前,功能层20可被掩模(未示出)保护。该过程被重复用于每个另外的功能层20。针对图3图示的两种过程,可以重复使用支撑多层结构10的母衬底40。
图3C-E中提供了用于制造不同器件或器件构件的不同的功能/松脱层组成和几何形状的多个实施例。图3C提供了具有用于制造光电器件的FMED的结构的实施例,在此AlGaAs为松脱层。图3D提供了具有用于制造电子器件(例如MESFET)的FMED的多层结构的实施例。图3E提供了具有用于制造LED的FMED的多层结构的实施例。为清晰起见,功能层20的15层结构示于表2中。图4-5概括了在用于松脱多个功能层(图4)或顺序地逐层松脱功能层(图5)的过程中使用的步骤。
功能层通过本领域已知的任意方式松脱,例如通过对嵌入的松脱层或牺牲层进行底切、蚀刻、溶解、燃烧等等(任意去除方式)。存在多种使用各种刺激的用于松脱功能层的策略,一些策略提供于表1中。表1还示出功能层和松脱层的组成可根据所采用的松脱策略进行选择。牺牲层比组成FMED的功能层快大约两倍或更多倍地被选择性蚀刻/溶解/燃烧/去除。可选地,提供掩模层400以保护FMED20免于暴露于用于去除牺牲层的试剂(见图2A)。松脱层可一次一个地被去除,或者多个松脱层可被同时去除(比较图3A和3B以及图4和图5中的流程图)。
图3A和4中概括了多个功能层的同时去除。图4概括了通过一次多层来松脱用于光电器件的FMED,其中可选地重新使用衬底以便接下来制造另外的可转移FMED。功能层包括外延生长半导体。所述过程还用于无定形或多晶材料,类似于图12中所述的过程。简言之,获得了GaAs衬底。例如,通过MOCVD、MBE(类似于图3D和3E分别针对晶体管、LED的过程)等等在GaAs衬底上生长出图3C中所示的外延层。根据需要在生长之前预处理衬底(可选地需要CMP)。在沉积或外延生长功能层和牺牲层之前生长邻近于衬底的约~200nm的GaAs缓冲层。顶部外延层的表面的一部分可通过等离子体增强化学气相沉积(PECVD)和用于图案化的光刻形式而用SiO2进行掩蔽。使用Cl/Ar/H等离子体从表面至达到任意Al0.96Ga0.04As牺牲层内一定距离处(例如,进入最靠近衬底的牺牲层)对外延层的未掩蔽区域进行蚀刻。所述牺牲层不应为距离衬底最远的一个(在这种情况下,松脱将为如图3B和5所概括的“一次一个”过程)。将衬底暴露于浓HF,以至少部分地去除所暴露的牺牲层,并通过横向底切松脱牺牲层上方的功能外延层。(HF侵蚀功能层较其侵蚀牺牲层更为缓慢(小于1/10的蚀刻速度))。通过压印将所松脱的FMED从衬底上分离,或者进行用于流体组装或喷墨印刷、静电旋压(electrospinning)等等的溶剂交换。使用HF去除牺牲层的任何残留部分;清洗/擦除掉上方的功能外延层的任何残留部分(锚定结构等等)。初始时位于此时已经被去除的各层的紧邻的下方的功能层现在暴露出来,并且位于衬底的表面上。重复在掩蔽和HF去除之间的步骤,从而松脱多组功能层(每组被牺牲层隔开),直至没有牺牲层保留在衬底上。为了可选地重新使用衬底,根据需要重复这些步骤。
图3B和5概括出单一功能层的松脱。诸如GaAs晶片的衬底为外延层生长提供支撑,所述外延层生长通过MOCVD、MBE等等进行,所述外延层例如为图3C中所述的功能层。可在生长之前根据需要(例如CMP)对衬底进行预处理。同样地,在对松脱层和功能层进行沉积或外延生长之前需要在衬底附近生长~200nm的GaAs缓冲层。顶部外延层的表面的一部分通过等离子体增强化学气相沉积(PECVD)和用于图案化的任意光刻形式用SiO2进行掩蔽。使用Cl/Ar/H等离子体从表面到进入第一Al0.96Ga0.04As牺牲层的某一距离处对外延层的未掩蔽区域进行蚀刻。将衬底暴露于浓HF,以至少部分地去除所暴露的牺牲层(一个),并且通过横向底切松脱在牺牲层(功能层)上方的外延层。(HF侵蚀功能外延层比其侵蚀牺牲层更为缓慢(小于1/10蚀刻速度))。
参照图3B、3C和5,使用Cl/Ar等离子体从表面穿过外延层到进入衬底的某一距离处对外延层的未掩蔽区域进行蚀刻。采用光致抗蚀剂来对外延层的其余部分进行封闭,覆盖上表面和侧表面。将衬底暴露于柠檬酸水溶液+H2O2以蚀刻GaAs衬底,并通过横向底切来松脱在牺牲层(功能层)上方的功能外延层(湿式蚀刻剂对阻挡外延层的侵蚀比侵蚀GaAs衬底更为缓慢(小于1/10蚀刻速度),并且功能GaAs层被封闭其的光致抗蚀剂所保护而免于受到湿式蚀刻剂侵蚀)。在图2中,AlGaAs外延层对应于“掩模”400,并且光致抗蚀剂封装对应于“另外的掩模”410。
所松脱的FMED中的任一个可通过压印与衬底分离,或者进行用于流体组装或喷墨印刷、静电旋压等等的溶剂交换。
也通过用于各向异性蚀刻和/或掩模层保护FMED免受蚀刻的定向蚀刻(例如Si111,Si110)(见提交于2006年9月20日的名为“BulkQuantities of Single Crystal Silicon Micro-/NanoribbonsGenerated from Bulk Wafers”的美国临时专利申请60/826,354,Atty.Ref.no.151-06P,该临时专利申请通过引用并入本文,该引用达到与本文不矛盾的程度)实现松脱。
实施例2:通过激光烧蚀对可转移结构进行松脱
其他松脱方法包括通过研磨/抛光/蚀刻来去除母衬底从而进行松脱,或者通过热冲击(例如通过热膨胀系数不匹配)进行松脱。松脱也可以通过所嵌入的层的烧蚀/分解/化学反应进行,例如通过激光引起的加热所导致的烧蚀/分解/化学反应。图6A提供了激光烧蚀松脱方法的示意图。电磁辐射例如通过位于衬底40的与存在多层结构的表面相反的一侧上的激光器被引入,穿过支撑功能层20的至少部分透明的衬底40。激光引起的加热通过20和40之间的界面的失效或者通过至少部分地去除对激光烧蚀敏感的松脱表面30,从而使可转移FMED100松脱。松脱表面30可保持部分地连接到结构100或衬底40中的一个或二者,如烧蚀产品37所示。这些产品37接着根据希望被去除。图6B为用于通过激光烧蚀松脱用于LED的FMED的合适衬底的实例。衬底40对应于蓝宝石衬底。图6C概括了通过激光烧蚀或通过在环境条件下自发的烧蚀/分解/化学反应而进行的用于LED的FMED的松脱。图10概括了用于通过不同松脱信号(例如电和/或热)选择性去除松脱层从而使多晶/无定形FMED材料松脱的基本策略。图12概括了通过去除牺牲层而对无定形FMED结构的松脱。
实施例3:通过扩展所引发的界面裂缝而松脱可转移结构。
另一种松脱机制为,在FMED和母衬底之间的界面处引入裂缝,然后将FMED拉离母衬底(例如,使用橡胶印模)以扩展该裂缝(见图7A)。裂缝可以多种方式引入,例如机械地(例如通过切削;见图8B-C和12),化学地(例如通过蚀刻)(见图7B和11),或用热的方法(例如通过热膨胀系数不匹配而引起的冲击)引入。
可选地,上述任一种用于松脱可转移结构的方式与承载结构组合,该承载结构例如是承载膜(图8A),所述承载膜例如作为用于印刷碳纳米管的承载结构的金膜(见Nature Nanotech.Vol2,p.230)。这一过程可有效用于较小的(例如,小于约50nm,例如分子、SWNT等等)、化学易损、机械易损、机械性能较软、大批的和/或者难以单独制造的FEMD。图8B和8C分别提供用于机械地在衬底和承载膜之间引入裂缝以松脱可转移FMED的结构和过程的实施例。
通过在此所述的任一种方法进行的松脱可选地结合在重新使用母衬底40的过程中,如图9A所示(并且可选地在图4和5中提供),从而使制造成本更为节约。
图19为通过一次部分地去除多个松脱层(牺牲层)(见图3A)而部分地去除功能层的示意图。所述松脱称为“部分地”是因为,在松脱层被部分去除后器件保持束缚于衬底。器件在它们去除时发生完全松脱或分离,例如通过束缚结构的断裂以及使用弹性印模来收回而进行。该图也概括了如下步骤,即,去除锚定结构以制备用于重新沉积多层堆叠的衬底。在图3C-E中示出可根据图19所概括过程获得可印刷器件的多层结构的一些实例。图4中概括了所述过程的细节。图4概括了“一次多层”地松脱用于光电器件的FMED,同时可选地重新使用衬底以接着生产另外的可转移FMED。功能层包括外延生长半导体。所述过程还用于无定形或多晶材料,类似于图12所述的过程。简言之,获得了GaAs衬底。例如通过MOCVD、MBE等等(类似于分别用于晶体管、LED的图3D和3E的过程)在GaAs衬底上生长出如图3C所示的外延层。在生长之前根据需要对衬底进行预处理(可选地需要CMP)。在沉积或外延生长功能层和牺牲层之前在衬底附近生长大约~200nm的GaAs缓冲层。通过等离子体增强化学气相沉积(PECVD)和用于图案化的光刻形式,可以用SiO2掩蔽顶部外延层的表面的一部分。使用Cl/Ar/H等离子体从表面直至任一Al0.96Ga0.04As牺牲层内(例如,进入最靠近衬底的牺牲层)的某一距离处蚀刻外延层的未掩蔽区域。所述牺牲层不应为与衬底相距最远的一个(在这种情况下,松脱将为如图3B和5所概括的“一次一个”过程)。将衬底暴露于浓HF以至少部分地去除所暴露的牺牲层,并通过横向底切松脱在牺牲层上方的功能外延层。(HF侵蚀功能外延层比其侵蚀牺牲层更为缓慢(小于1/10蚀刻速度))。通过压印将所松脱的FMED与衬底分离,或者进行用于流体组装或喷墨印刷、静电旋压等等的溶剂交换。使用HF去除牺牲层的任何残留部分;清洗/擦除上方的功能外延层的任何残留部分(锚定结构等等)。初始时位于此时已经被去除的层的紧邻的下方的功能层现在被暴露出来,并且位于衬底表面上。重复在掩蔽和HF去除之间的步骤,从而松脱多组功能层(每组被牺牲层隔开),直至没有牺牲层保留在衬底上。为了可选地重新使用衬底,这些步骤根据需要进行重复。
图20为通过一次一松脱层(也见图3B)地部份地去除松脱层(牺牲层)而将功能层部分地松脱的示意图。所述松脱称为“部分地”,因为在部分地去除松脱层后器件保持束缚于衬底。器件在它们去除时发生完全松脱或去除,例如通过束缚结构的断裂以及使用弹性印模来收回而进行。该图也概括了如下步骤,即,去除锚定结构以制备用于重复“一次一层松脱过程”的衬底(如图3B所示)以及制备用于重复沉积多层堆叠的衬底。在图3C-E中示出可根据在此所概括的过程生产可印刷器件的多层结构的一些实例。图5中概括了所述过程的细节。衬底诸如GaAs晶片通过MOCVD、MBE等等提供了对外延层生长的支持,所述外延层例如为图3C中所述的功能层。在生长之前可根据需要对衬底进行预处理(例如CMP)。类似地,在沉积或外延生长松脱层和功能层之前,将需要在衬底附近生长~200nm的GaAs缓冲层。通过等离子体增强化学气相沉积(PECVD)和用于图案化的任意光刻形式,对顶部外延层的表面的一部分用SiO2进行掩蔽。使用Cl/Ar/H等离子体从表面到进入第一Al0.96Ga0.04As牺牲层的某一距离处对外延层的未掩蔽区域进行蚀刻。将衬底暴露于浓HF,以至少部分地去除所暴露的牺牲层(一个),并且通过横向底切松脱在牺牲层(功能层)上方的外延层。(HF侵蚀功能外延层比其侵蚀牺牲层更为缓慢(小于1/10蚀刻速度))。通过压印将所松脱的FMED从衬底上分离,或者进行用于流体组装或喷墨印刷、静电旋压等等的溶剂交换。重复在掩蔽和HF去除之间的步骤,从而松脱功能层,直至没有牺牲层保留在衬底上。为了可选地重新使用衬底,这些步骤根据需要进行重复。
图21为使用横向蚀刻阻止部或锚定柱的通过一次去除多个松脱层(牺牲层)(也见图3A)部分地松脱功能层的示意图。所述松脱称为“部分地”,因为在去除松脱层后通过横向蚀刻阻止部/锚定柱使器件保持束缚于衬底。器件在它们去除时发生完全松脱或分离,例如通过束缚结构的断裂以及使用弹性印模来收回而进行。该图也概括了如下步骤,即,去除横向蚀刻阻止部/锚定柱以制备用于重新沉积多层堆叠的衬底。在图3C-E中示出可根据在此所概括的过程生产可印刷器件的多层结构的一些实例。所述过程的细节概括如下:功能层包括外延生长半导体。所述过程还用于无定形或多晶材料,类似于如图12所述的过程。简言之,获得了GaAs衬底。例如通过MOCVD、MBE等等(类似于分别针对晶体管、LED的图3D和3E的过程)在GaAs衬底上生长出如图3C所示的外延层。在生长之前根据需要(可选地需要CMP)对衬底进行预处理。在沉积或外延生长功能层和牺牲层之前在衬底附近生长大约~200nm的GaAs缓冲层。通过等离子体增强化学气相沉积(PECVD)和用于图案化的光刻形式,顶部外延层的表面的一部分可采用SiO2进行掩蔽。使用Cl/Ar/H等离子体从表面到进入任一Al0.96Ga0.04As牺牲层(例如,进入最靠近衬底的牺牲层)的某一距离处对外延层的未掩蔽区域进行蚀刻。所述牺牲层不应为最远离衬底的一个(在这种情况下,松脱将为如图3B和5所概括的“一次一个”的过程)。通过低压化学气相沉积来沉积氮化硅的敷形涂层。通过例如光刻和使用氟等离子体的蚀刻将所述氮化硅图案化,以限定横向蚀刻阻止部和/或锚定柱。将衬底暴露于浓HF,以至少部分地去除所暴露的牺牲层并通过横向底切松脱在牺牲层上方的功能外延层。(HF侵蚀功能外延层比其侵蚀牺牲层更为缓慢(小于1/10蚀刻速度))。通过压印将所松脱的FMED与衬底分离,或者进行用于流体组装或喷墨印刷、静电旋压等等的溶剂交换。使用HF以去除牺牲层的任何保留部分。使用氟等离子体以去除氮化硅;清洁/擦除上方的功能外延层的任何残留部分(锚定结构等等)。重复HF、氟等离子体和清洗,直至初始时位于此时已经去除的层紧邻的下方的功能层被清晰暴露出并且处于衬底表面上。重复在掩蔽和清洗之间的步骤,从而松脱多组功能层(每组被牺牲层隔开),直至没有牺牲层保留在衬底上。为了可选地重新使用衬底,这些步骤根据需要进行重复。
图22:使用横向蚀刻阻止部或锚定柱的通过一次去除一个松脱层(牺牲层)(也见图3B)部分地松脱功能层的示意图。所述松脱称为“部分地”,因为在去除松脱层之后通过横向蚀刻阻止部/锚定柱使器件保持束缚于衬底。器件在它们去除时发生完全松脱或分离,例如通过束缚结构的断裂以及使用弹性印模来收回而进行。该图也概括了如下步骤,即,去除横向蚀刻阻止部/锚定柱以用于重复“一次一层松脱过程”(如图3B所示)以及制备用于重复沉积多层堆叠的衬底。在图3C-E中示出可根据如图22所概括的过程生产可印刷器件的多层结构的一些实例。所述过程的细节如下。诸如GaAs晶片的衬底提供了对外延层生长的支持,所述生长通过MOCVD、MBE等等进行,所述外延层例如为图3C中所述的功能层。在生长之前可根据需要对衬底预处理(例如CMP)。类似地,在沉积或外延生长松脱层和功能层之前,将需要在衬底附近生长~200nm的GaAs缓冲层。通过等离子体增强化学气相沉积(PECVD)和用于图案化的任意光刻形式,顶部外延层的表面的一部分采用SiO2进行掩蔽。使用Cl/Ar/H等离子体从表面到进入第一Al0.96Ga0.04As牺牲层的某一距离处对外延层的未掩蔽区域进行蚀刻。通过低压化学气相沉积来沉积氮化硅的敷形涂层。例如通过光刻和使用氟等离子体进行蚀刻将该氮化硅图案化,以限定横向蚀刻阻止部和/或锚定柱。将衬底暴露于浓HF,以至少部分地去除所暴露的牺牲层(一个),并且通过横向底切松脱在牺牲层(功能层)上方的外延层。(HF侵蚀功能外延层比其侵蚀牺牲层更为缓慢(小于1/10蚀刻速度))。通过压印将所松脱的FMED从衬底上分离,或者进行用于流体组装或喷墨印刷、静电旋压等等的溶剂交换。使用HF去除牺牲层的任何残留部分。使用氟等离子体以去除氮化硅;清洁/擦除上方的功能外延层的任何残留部分(锚定结构等等)。重复HF、氟等离子体和清洗,直至初始时位于此时已经去除的层的紧邻的下方的功能层被清晰暴露出并且处于衬底表面上。重复在掩蔽和HF去除之间的步骤,从而松脱功能层,直至没有牺牲层保留在衬底上。为了可选地重新使用衬底,这些步骤根据需要进行重复。
图23:在功能层已经通过类似于图20所述的过程被部分地松脱之后,利用抗粘滞层或活化层对功能层进行松脱后处理的示意图。抗粘滞层或活化层经常为自组装单层(SAM),用于防止在已松脱的层和下方的层之间的粘附(抗粘滞),或者促进在已松脱的层和其他一些实体(例如,弹性印模、纳米颗粒、生物体等等)之间的粘附(活化)。在图3D中描述了可应用图23所概括的过程的系统的实例。在限定器件(例如通过光刻以及氯等离子体蚀刻)并通过HF部分地去除AlGaAs松脱层之后,可使用末端为硫醇的有机分子的乙醇溶液处理所暴露的GaAs表面。对于抗粘滞,该分子可为烷基硫醇,例如十六烷硫醇或者全氟化的烷基硫醇。对于活化,硫醇可另行端接诸如辛二硫醇的反应性化学基团。
图35和36提供了锚定策略的另一实例,其进一步改进了在明确限定的位置处从锚定结构断裂的可转移结构的产生,所述明确限定例如通过异质锚定策略(例如见图21)。具体而言,异质锚定优于同质锚定(例如图20)之处包括在设计可断裂束缚点方面的灵活性、增强的转移控制、以及转移定位性的改进。另外,各种锚定过程提供了晶片衬底区域的更为有效的使用。图案化的牺牲区域提供确保可转移结构在明确限定的位置处从锚定结构断裂的能力。另外,图案化的牺牲层增加了覆盖面积。例如,因为锚定结构并不被去除牺牲层的试剂底切,因此它们不需要比可转移结构的穿孔更宽。图36图示了图35中概括的锚定概念,并且是其实践的一个实例:将大部分透明的金网格从硅晶片印刷到塑料。
在此公开的过程特别适于高产量地将结构从多层器件印刷到衬底或由衬底支撑的构件,从而降低制造时间和成本。例如,图37为七层结构的SEM,其中相邻的GaAs层被Al0.9Ga0.1As层隔开。外延结构采用磷酸和过氧化氢进行蚀刻。通过光刻和湿式蚀刻从在GaAs衬底上的外延层加工出多层微结构。
图38是在同时松脱七个GaAs层后被收回到PDMS印模上的七个GaAs层的照片。类似的收回可期望用于在此所公开的单层的逐层松脱。简言之,松脱过程包括采用S1802光致抗蚀剂来对外延结构进行掩蔽。被掩蔽的结构采用1:13:12的H3PO4:H2O2:Dl蚀刻达1分钟。光致抗蚀剂用丙酮去除,接着采用49%HF对松脱层进行化学去除达35秒并采用N2进行干式漂洗。各层使用PDMS印模依次剥离(在图38中标记为1-8)。第8个印模用于检查“残留”。图39提供在PDMS印模表面上的从多层供体衬底剥离的GaAs层的光学图像。这些层准备用于印刷到器件衬底或器件衬底的构件上。
实施例4:由体晶片产生的大量单晶硅微/纳米带。
本实施例说明了用于从体硅(111)晶片制造大量高质量、尺寸均匀的单晶硅微米带和纳米带的策略。所述过程使用带有限定于侧壁上的受控的纹波结构的蚀刻沟槽,以及掩模材料的有角度的蒸发和硅的各向异性湿式蚀刻,来制造带的多层堆叠,该多层堆叠遍及晶片整个表面,厚度均匀并且长度和宽度被光刻限定。使用这种方式大量制造了厚度在数十和数百纳米之间、宽度在微米量级且长度最高达数厘米的带。印刷过程使得能够将此类带的有组织的阵列逐层转移至多种其他衬底。在形成于塑料衬底上的薄膜型晶体管中,可以采用这些带实现良好的电气性能(迁移率~190cm2V-1s-1,且导通/截止>104),从而证明了一个潜在的应用领域。
线、带和微粒形式的单晶硅的纳米结构元件在电子、光电、传感和其他领域的许多应用很有价值。带的几何结构对于某些器件而言是重要的,因为它提供例如大的平坦表面以用于化学传感和光探测,以及提供能够有效填充晶体管的通道区域的几何结构。与用于硅纳米线1的已被充分开发的化学合成方法相关的生长技术已经被改造用于制造Si纳米带并获得了一定的成功2。然而,对于例如氧化物(ZnO、SnO2、Ga2O3、Fe2O3、In2O3、CdO、PbO2等等)3、硫化物(CdS、ZnS)4、氮化物(GaN)5和硒化物(CdSe、ZnSe、Sb2Se36等材料,通过这些过程和类似过程所提供的对带的尺寸控制程度和带的产量是有限的。相反地,依靠半导体晶片的顶表面的光刻处理的方法能够良好控制厚度、宽度、长度、结晶度和掺杂水平。这些方法能够形成厚度处于微米到纳米量级、包括Si、SiGe、双层Si/SiGe、GaAs、GaN及其他等的薄膜、管和带7-12。此外,各种工艺可以有组织的阵列的形式将这些元件转移到其他用于器件集成的衬底。相比于生长技术,这种“自上而下”的方法具有三个主要缺点。首先,宽度小于~100nm的元件由于光刻中的实际限制而难于制造。其次,可以使用的仅仅是那些能够以薄膜或体晶片形式生长的材料。第三,对于许多应用最重要的是,大量微/纳米结构的制造需要大量晶片,每个晶片均较为昂贵。第一个缺点与不需要如此之小尺寸的结构的多种应用不相关。第二个缺点当然并不适用于包括硅的许多重要材料。此实施例提出了解决第三个限制的结果。具体而言,它引入一种在单个处理顺序中从标准的体Si晶片生产大量高质量的Si带的简单方法,所述Si带的厚度低至数十纳米。简言之,所述方法始于通过蚀刻掩模对硅晶片进行受控的深度反应离子蚀刻,以制造带有良好限定的纹波侧壁形态的沟槽。以一定角度沉积到这些带上的金属溅镀(collimated flux)形成分离的金属线,这些金属线用作沿着平行于晶片表面的平面对硅进行的高度各向异性湿式蚀刻的掩模。这一单一蚀刻步骤形成呈现多层堆叠几何结构的大量硅带。这些带可从晶片去除,以及被溶液铸型或者干式转移印刷到希望的衬底上,以集成到诸如晶体管的器件中,它们的由光刻限定的空间次序保持或不保持均可。此一方法仅仅依赖于标准的洁净室处理设备。因此,其对于研究者有价值的是,其可用于硅微米/纳米结构中而不需要专门的生长室,以及用直接的合成技术大量形成它们的制法中。
图25展现了制造顺序的示意图。在第一步骤中,在1100°C下进行干式热氧化达2小时,形成在晶片表面上的薄(~150nm)的SiO2层。在涂布增粘剂——1,1,1,3,3,3-六甲基二硅氮烷(HMDS,AcrosOrganics)——之后,进行接触模式光刻(Shipley1805光致抗蚀剂(PR)和MF-26A显影剂),接着在110°C下退火达5分钟来提供PR掩模。在缓冲的氧化物蚀刻剂(BOE,Transene Co.)溶液中进行湿式蚀刻达1分钟30秒,并在丙酮中清除残留的PR,从而在晶片上产生SiO2线。这些线提供对硅进行感应耦合等离子体反应离子蚀刻(STS-ICPRIE,STS Mesc Multiplex Advanced Silicon Etcher)的掩模。所述线的方向垂直于如图25所示的<110>方向,从而使被蚀刻的沟槽的侧壁暴露出{110}平面。ICPRIE工具主要用于通过使用对硅蚀刻和沉积含氟聚合物来保护侧壁不被蚀刻的交替周期,来产生高纵横比的结构和平坦竖直的侧壁13。但我们改造了过程周期,以通过适宜地控制气流速度、电极功率、室压力和蚀刻周期持续时间而将被良好控制的纹波状起伏结构雕刻到这些侧壁中。周期和幅度分别在80nm-1.5μm和50-450nm的范围内的纹波可在被处理的区域(4英寸晶片尺寸)可重现地和均匀地获得。举例而言,形成周期为540nm且幅度为130nm的参数如下:气流,O2/SF6=13/130sccm(在STP的立方厘米/分钟)用于蚀刻,并且C4F8=110sccm用于沉积;气压,94mTorr;蚀刻功率,600/12W用于感应耦合等离子体(ICP)/压板(P);沉积功率,600/0W用于ICP/P;蚀刻持续时间,7秒;沉积持续时间,5秒。在各沉积周期之间的蚀刻状况确定了这些纹波结构。因为SF6/O2混合物给出几乎各向同性的蚀刻,所以纹波的幅度和周期是相关联的。最小纹波结构具有80nm的周期和50nm的幅度;最大纹波结构具有1.5μm的周期和450nm的幅度。将蚀刻样本在100°C下浸入NH4OH/H2O2/H2O=1:1:5达10分钟,就去除了侧壁上的含氟聚合物。将样品浸渍于BOE溶液达2分钟,接着在去离子水中漂洗,去除了残留的SiO2层。接着,利用溅镀的Cr/Au(3/47nm)的有角度的电子束蒸发(从晶片的法向轴线偏离15°),沿着所有纹波的低区域而不是其高区域形成物理蚀刻掩模,原因在于伸出的凹凸结构导致的遮蔽。蒸发角控制此遮蔽的程度。采用KOH溶液进行的各向异性湿式化学蚀刻(PSE-200,Transene Co.110°C)沿着<110>方向,在暴露出Si的所有区域中沿着侧壁开始去除Si。沿着{110}平面的KOH蚀刻速度比沿着{111}平面快数百倍之多,因为{110}平面较之{111}平面具有更低的原子密度和更高的悬空键密度14。因此,这种蚀刻从每个沟槽的一侧沿着平行于晶片表面的方向完全进展到相邻侧,从而松脱单个带的多层堆叠,该堆叠的厚度由有角度的蒸发和纹波结构(即,周期和幅度)确定。采用KI/I2(aq)溶液(重量百分比为2.67/0.67%)去除Cr/Au并采用HCl/H2O2/H2O=体积比1:1:1以及HF(aq)进一步清洁,完成了上述制造。超声处理将所述带松脱到溶液(例如CH3OH)中,以准备将其用于铸型到其他衬底上。
为便于将这些元件集成到器件中,重要的是保持它们的由光刻限定的对齐和位置。为此,我们在SiO2线中引入中断(宽度=10-20μm),这样,即使在采用KOH完全底切蚀刻之后,每个带的端部也保持锚定于Si晶片。使用聚二甲基硅氧烷(PDMS)弹性元件的软印刷技术能够一次一层地从源晶片提起这些被锚定的Si带的有组织阵列7,15,以转移到目标衬底。图17示意性图示了此一过程,其应用于柔性塑料衬底。将轻微的压力施加于PDMS上以能够与逐渐往下的Si带层接触并以最高转移效率(>~90%直至第三层)快速将其剥离已松脱的带阵列15。使用小压力使得能够进行保形接触同时避免使带断裂和/或扭曲。在该方法中,所述带通过范德华相互作用粘附到PDMS上,所述范德华相互作用沿着所述带的长度累积,在剥离时强度足以使带锚破裂。使得覆盖有Si带的印模接触一具有薄的、旋涂铸型粘接层(厚度=135nm,SU-8,Microchem)的衬底(厚度=0.2mm,PET,-Delta Technologies),并在70°C下加热达1分钟,就形成了在带和该衬底之间的强力粘合。通过剥离PDMS将带从PDMS上去除。将粘接(感光聚合物)层大量暴露于紫外光(λ=365nm,13mW/cm2,10s),并进一步加热(120°C,5分钟),增强了在带和衬底之间的粘合。采用单一晶片源的带的转移印刷的多个周期能够产生在塑料或其他衬底上的大面积覆盖(相比于晶片),如图17所示。
图26示出在如图25所示过程的不同阶段的Si(111)晶片(Montco,Inc,n型,1-10Ωcm)的扫描电子显微镜(SEM)照片。在对应于图26的g和h部分的中间处理状态下的厚度为100±10nm。因为延长暴露于KOH蚀刻剂的时间,完全松脱的带具有80±15nm的厚度。在给定的多层堆叠中以及在整个晶片上,厚度均匀性优良,除了最顶部的带比其他的带稍薄(在这种情况下,薄~10nm),因为在SiO2掩模下方的ICPRIE中存在轻微底切。使用传统的接触模式光刻,所述带的长度和宽度均匀,有±120nm的偏差。针对此范围内的厚度、3-5μm的宽度以及最高达数厘米的长度,在KOH蚀刻期间所述带并不塌陷导致彼此接触,直至它们被完全底切。通过改变侧壁纹波的幅度和周期,可以实现在整个晶片上一致的在80和300nm之间的厚度。各带的厚度的偏差限定了能够可靠实现的最小厚度。这些偏差具有四个主要来源。前两个为在SiO2掩模的边缘上和在纹波侧壁上的粗糙度,此二者直接转换成厚度偏差。第三,在有角度的蒸发金属掩模中的颗粒结构能够导致类似效应。第四,ICPRIE蚀刻沟槽与Si{110}平面的轻微不对准以及在KOH蚀刻池中的不均一(即,局部温度和浓度)也能够导致偏差16。采用在此所述的过程,这些因素使得最小的能够可靠实现的带厚度被实际限制在~80nm。使用标准接触模式光刻工具,可以实现小到~1μm的宽度。在光刻(例如使用电子束或压印光刻)、蚀刻(例如温度控制的ICPRIE)和沉积(例如在金属抗蚀线中的更小的颗粒尺寸)中的组合改进,能够明显(即,两倍或更多倍)降低这些最小尺寸。其他与该过程相关的限制在于宽度与厚度的比率;大于~60的比率难于实现,这是由于与KOH蚀刻相关的一些方面,例如其各向异性的受限程度以及在完全底切之前所述带的机械塌陷和/或金属掩模线的剥离。
图27示出在通过超声处理将带从晶片松脱之后,收集的从溶液沉积到载玻片上的这些带。这些带的宽度和长度的均匀性较高(偏差=±120nm)。在此示出的~6×103个带(厚度=250nm,宽度=3μm,长度=~1.5cm)从1.5×1.5cm2的面积中进行收集;此一样本代表质量为0.16mg的90m的带。实验数据表明,易于实现将该过程扩大到10层之多,同时晶片的直径最长达150mm。在这种情况下,单个处理过程(图25)将产生32mg的带。在这种情况下,重点要注意的是,大衬底需要一定程度上小心处理,以便实现针对金属掩模层的均匀沉积角度。针对典型蒸发系统,诸如在此报告的研究中所使用的,对于8、15和150mm的衬底直径,沉积角度的改变分别为0.72°、1.36°、和13.8°。通过增大源和衬底之间的距离,或采用其他易于执行的策略,能够明显降低这些改变。
如图27所示在带中呈现的高度无序突出了获得适用于器件集成的良好限定的构造的需要。如图17所示的锚定方法代表一种可能性,其中,带的由光刻限定的对准和取向在制造和集成全过程中得到保持。图28示出Si芯片(总图案尺寸:8×8mm2)的图像,其所具有的带的对准的四层堆叠(宽度=4μm,长度=190μm,厚度=~250nm)在其端部处锚定到晶片。图28a的光学显微照片示出1.5×105个带。扫描电子显微照片突出了锚和蚀刻平面(图28b-e)。KOH蚀刻前沿沿着<110>方向推进,但该前沿终止于{111}平面(即,最慢蚀刻平面),如图28e所见,在此处,所述结构渐缩成三角形锚,其位于两个{111}平面相交的一点处。软印刷过程可使用图17的过程一次一层地将这些带转移到其他衬底上。图29a示出从顶层转移到PDMS衬底上的Si带阵列(厚度=235nm,宽度=4.8μm,长度=190μm)的实例。由于前文提到的因素产生的厚度偏差显示为在图29a的光学图像中的颜色变化、图29b中的渐变厚度曲线,以及当带非常薄(例如,小于40nm)时的不连续性。原子力显微镜(AFM)图像揭示了在带表面上的完全分离的台阶(或阶梯,高度最高达10nm)。不包括这些台阶的区域(1×1μm2)的表面粗糙度为~0.6nm,与之形成对照的是,包括这些台阶的相近尺寸的区域中表面粗糙度为~3nm。在由KOH蚀刻的Si(111)晶片的表面上已经观察到类似结构15。此类结构导致在光学图像中的一些色彩变化。0.6nm的粗糙度值在一定程度上大于晶片的顶部抛光表面的粗糙度值(0.12nm)、由负载硅的绝缘体(SOI)衬底产生的结构的表面粗糙度值(0.18nm)、或由Si晶片的上表面产生的带的表面粗糙度值(0.5nm)。粗糙度源于确定厚度偏差的相同效应,如前所述。沿着典型的带的厚度偏差为~±15nm。在给定阵列中带的平均厚度的偏差为~±3nm。图29c显示使用单个经处理的Si晶片,通过四个印刷周期在涂布有ITO的PET衬底上形成的带的阵列的四个区域。在已印刷的带上的产率为,对于第一层为98%,对于第二层为94%,对于第三层为88%,对于第四层为74%。第四层的低产率主要由于从晶片到PDMS的转移不完全。从上层的不完全转移在晶片上留下部分分离的带,其可能干扰接下来的印刷周期。
为了证明在电子器件中已印刷的带阵列的一种可能用途,我们制造了场效应晶体管(图30a、b)。衬底为聚酰亚胺(PI,厚度=25μm)栅电极为Cr/Au(厚度=3/40nm),栅极介电材料由一层SiO2(厚度=170nm)以及通过图17的过程涂布的SU-8粘合剂组成。根据AFM所测量的,被转移的Si带阵列沉入SU-8中约35nm,在Si带的底表面和SiO2栅极介电材料之间留下剩余的100nm的SU-8。由光刻(100μm长度×100μm宽度,跨越10个Si带)以及用Ti蚀刻剂(TFTN,TranseneCo.)进行湿式蚀刻所限定的厚电极板(Ti,250nm)形成用于源极和漏极的Schottky势垒接触点。这些底部栅极器件显示了n型增强型模式栅极调节(图30c、d),其与使用类似处理条件在SOI晶片上形成的类似器件一致。该晶体管展现的导通/截止比率为~3×104。线性特征的、每个带的迁移率(占空因子35%)第一层对应于190cm2V-1s-1,第二层对应于130cm2V-1s-1。这些数值一定程度上低于我们使用SOI晶片以及其他类似器件处理步骤所获得的数值7,11。我们推测,在此使用的带的较大粗糙度是这种差异的部分原因。另外,众所周知的是,针对Si-SiO2界面,在(111)平面上的界面电荷密度比在(100)平面上的大几乎十倍;在氢气中进行退火能够明显降低该数值。17
概括而言,该实施例说明了一种用于从体硅(111)晶片制造大量单晶硅微/纳米带的简单制造策略。在通过该方法制造的多层堆叠中的每一层可单独转移印刷到其他衬底上,以便集成到诸如晶体管的器件中。过程的简化、形成用于器件的有组织的阵列的能力、材料的高质量,以及用于除了电子电路之外的诸如传感器、光探测器以及可能的光电元件等其他器件的潜在可能,表明这种类型的方法对于硅带的潜在价值。
图31-34中提供了:根据不同的STS-ICPRIE条件的各种侧壁和具有不同厚度的硅纳米带的显微照片,遮蔽掩模的程度相对于电子束蒸发的角度,以及七层Si带和来自EDAX能量色散谱(EDS)研究的光谱。
参考文献
(1)(a)Wagner,R.S.;Ellis,W.C.Appl.Phys.Lett.1964,4,89.(b)Holmes,J.D.;Johnston,K.P.;Doty,R.C.;Korgel,B.A.Science2000,287,1471.(c)Yu,J.-Y.;Chung,S.-W.;Heath,J.R.J.Phys.Chem.B2000,104,11864.(d)Wu,Y.;Yang,P.J.Am.Chem.Soc.2001,123,3165.(e)Wu,Y.;Fan,R.;Yang,P.Nano Lett.2002,2,83.(f)Shi,W.-S.;Peng,H.-Y.;Zheng,Y.-F.;Wang,N.;Shang,N.-G.;Pan,Z.-W.;Lee,C.-S.;Lee,S.-T.AdV.Mater.2000,12,1343.(g)Wu,Y.;Xiang,J.;Yang,C.;Lu,W.;Lieber,C.M.Nature2004,430,61.(h)Lu,W.;Xiang,J.;Timko,B.P.;Wu,Y.;Lieber,C.M.Proc.Natl.Acad.Sci.U.S.A.2005,102,10046.(i)Xiang,J.;Lu,W.;Hu,Y.;Wu,Y.;Yan,H.;Lieber,C.M.Nature2006,441,489.
(2)(a)Shi,W.;Peng H.;Wang,N.;Li,C.P.;Xu,L.;Lee,C.S.;Kalish,R.;Lee,S.-T.J.Am.Chem.Soc.2001,123,11095.(b)Zhang,R.-Q.;Lifshitz,Y.;Lee,S.-T.AdV.Mater.2003,15,635.(c)Shan,Y.;Kalkan,A.K.;Peng,C.-Y.;Fonash,S.J.NanoLett.2004,4,2085.
(3)(a)Pan,Z.W.;Dai,Z.R.;Wang,Z.L.Science2001,291,1947.(b)Li,Y.B.;Bando,Y.;Sato,T.;Kurashima,K.Appl.Phys.Lett.2002,81,144.(c)Arnold,M.S.;Avouris,P.;Pan,Z.W.;Wang,Z.L.J Phys.Chem.B2003,107,659.(d)Dai,Z.R.;Pan,Z.W.;Wang,Z.L.J.Phys.Chem.B2002,106,902.(e)Wen,X.;Wang,S.;Ding,Y.;Wang,Z.L.;Yang,S.J.Phys.Chem.B2005,109,215.(f)Kong,X.Y.;Wang,Z.L.Solid State Commun.2003,128,1.
(4)(a)Kar,S.;Satpati,B.;Satyam,P.V.;Chaudhuri,S.J.Phys.Chem.B2005,109,19134.(b)Kar,S.;Chaudhuri,S.J Phys.Chem.B2006,110,4542.(c)Kar,S.;Chaudhuri,S.J.Phys.Chem.B2005,109,3298.(d)Li,Y.;Zou,K.;Shan,Y.Y.;Zapien,J.A.;Lee,S.-T.J.Phys.Chem.B2006,110,6759.(e)Zhang,Z.;Wang,J.;Yuan,H.;Gao,Y.;Liu,D.;Song,L.;Xiang,Y.;Zhao,X.;Liu,L.;Luo,S.;Dou,X.;Mou,S.;Zhou,W.;Xie,S.J Phys.Chem.B2005,109,18352.(f)Wang,Z.Q.;Gong,J.F.;Duan,J.H.;Huang,H.B.;Yang,S.G.;Zhao,X.N.;Zhang,R.;Du,Y.W.Appl.Phys.Lett.2006,89,033102.
(5)Bae,S.Y.;Seo,H.W.;Park,J.;Yang,H.;Park,J.C.;Lee,S.Y.Appl.Phys.Lett.2002,81,126.
(6)(a)Ma,C.;Ding,Y.;Moore,D.;Wang,X.;Wang,Z.L.J.Am.Chem.Soc.2004,126,708.(b)Ding,Y.;Ma,C.;Wang,Z.L.AdV.Mater.2004,16,1740.(c)Joo,J.;Son,J.S.;Kwon,S.G.;Yu,J.H.;Hyeon,T.J.Am.Chem.Soc.2006,128,5632.(d)Zhang,X.T.;Ip,K.M.;Liu,Z.;Leung,Y.P.;Li,Q.;Hark,S.K.Appl.Phys.Lett.2004,84,2641.(e)Xie,Q.;Liu,Z.;Shao,M.;Kong,L.;Yu,W.;Qian,Y.J.Cryst.Growth2003,252,570.
(7)(a)Menard,E.;Lee,K.J.;Khang,D.-Y.;Nuzzo,R.G.;Rogers,J.A.Appl.Phys.Lett.2004,84,5398.(b)Menard,E.;Nuzzo,R.G.;Rogers,J.A.Appl.Phys.Lett.2005,86,093507.(c)Zhu,Z.-T.;Menard,E.;Hurley,K.;Nuzzo,R.G.;Rogers,J.A.Appl.Phys.Lett.2005,86,133507.(d)Khang,D.-Y.;Jiang,H.;Huang,Y.;Rogers,J.A.Science2006,311,208.(e)Sun,Y.;Kumar,V.;Adesida,I.;Rogers,J.A.AdV.Mater.2006,in press.
(8)(a)Zhang,P.;Tevaarwerk,E.;Park,B.-N.;Savage,D.E.;Celler,G.K.;Knezevic,I.;Evans,P.G.;Eriksson,M.A.;Lagally,M.G.Nature2006,439,703.(b)Roberts,M.M.;Klein,L.J.;Savage,D.E.;Slinker,K.A.;Friesen,M.;Celler,G.;Eriksson,M.A.;Lagally,M.G.Nat.Mater.2006,5,388.
(9)(a)Huang,M.;Boone,C.;Roberts,M.;Savage,D.E.;Lagally,M.G.;Shaji,N.;Qin,H.;Blick,R.;Nairn,J.A.;Liu,F.AdV.Mater.2005,17,2860.(b)Zhang,L.;Ruh,E.;Grützmacher,D.;Dong,L.;Bell,D.J.;Nelson,B.J.;
Figure GDA00003020081200361
,C.Nano Lett.2006,6,1311.
(10)(a)Desai,T.A.;Hansford,D.J.;Kulinsky,L.;Nashat,A.H.;Rasi,G.;Tu,J.;Wang,Y.;Zhang,M.;Ferrari,M.Biomed.MicrodeVices1999,2,11.(b)Bhushan,B.;Kasai,T.;Nguyen,C.V.;Meyyappan,M.Microsyst.Technol.2004,10,633.
(11)Mack,S.;Meitl,M.A.;Baca,A.J.;Zhu,Z.-T.;Rogers,J.A.Appl.Phys.Lett.2006,88,213101.
(12)(a)Létant,S.E.;Hart,B.R.;Van Buuren,A.W.;Terminello,L.J.Nat.Mater.2003,2,391.(b)Storm,A.J.;Chen,J.H.;Ling,X.S.;Zandbergen,H.W.;Dekker,C.Nat.Mater.2003,2,537.
(13)(a)Gmbh,R.B.U.S.Patent4855017,U.S.Patent4784720,German Patent4241045C1,1994.(b)Ayo′n,A.A.;Braff,R.;Lin,C.C.;Sawin,H.H.;Schmidt,M.A.J.Electrochem.Soc.1999,146,339.(c)Chen,K.-S.;Ayo′n,A.A.J.Microelectromech.Syst.2002,11,264.
(14)(a)Madou,M.Fundamentals of Microfabrication;CRC Press LLC:Boca Raton,FL,1997;pp177-187.(b)Chou,B.C.S.;Chen C.-N.;Shie,J.-S.Sens.Actuators,A1999,75,271.(c)Lee,S.;Park,S.;Cho D.J.Microelectromech.Syst.1999,8,409.(d)Ensell,G.J.Micromech.Microeng.1995,5,1.(e)Kandall,D.L.Annu.ReV.Mater.Sci.1979,9,373.
(15)Meitl,M.A.;Zhu,Z.-T.;Kumar,V.;Lee,K.J.;Feng,X.;Huang,Y.Y.;Adesida,I.;Nuzzo,R.G.;Rogers,J.A.Nat.Mater.2006,5,33.
(16)Carcia,S.P.;Bao,H.;Hines,M.A.Phys.ReV.Lett.2004,93,166102.
(17)(a)Streetman,B.G.;Banerjee,S.Solid State Electronic Devices,5th ed.;Prentice Hall:Upper Saddle River,NJ,2000;pp274-275.(b)Razouk,R.R.;Deal,B.E.J.Electrochem.Soc.1979,126,1573.(c)Kato,Y.;Takao,H.;Sawada,K.;Ishida,M.Jpn.J.Appl.Phys.2004,43,6848.
美国专利申请No.11/115,954、11/145,574、11/145,542、60/863,248、11/465,317、11/423,287、11/423,192、和11/421,654由此通过引用并入本申请,该并入达到与本说明书不矛盾的程度。
在本申请中的所有参考文献,例如包括公布或授权的专利或等价形式的专利文献;专利申请出版物;未出版的专利申请;以及非专利文献文件或其他来源的资料;均由此通过引用以其整体并入本文中,如同单独通过引用并入一样,达到每份参考文献与本申请中的公开内容至少部分地不矛盾的程度(例如,一个部分地不一致的参考文献通过将该参考文献的局部不一致的部分排除在外而通过引用并入)。
在此使用了各种时态下的术语“包括”,它们应被理解成具体说明所阐述的特征、整体、步骤或所涉及构件的存在,但并不排除一个或多个其他特征、整体、步骤、构件或其组合的存在或添加。本发明的单独实施方案也意在涵盖,其中,术语“包括”可选地替换成语法上类似的术语,例如“包含”或“大致包含/基本包含”,从而描述其他的不一定有相同范围的实施方案。
本发明已经参照各种具体的和优选的实施方案和技术进行描述。然而,应该理解的是,在保持处于本发明的精神和范围内的同时,可以进行许多改变和变换。对于本领域普通技术人员明显的是,与在此具体描述不同的组成、方法、器件、器件元件、材料、过程和技术可应用于如在此所宽泛公开的本发明的实践中而并不需要依靠过多的实验。在此所公开的组成、方法、器件、器件元件、材料、过程和技术的所有本领域已知的功能性等价替换拟被本发明所涵盖。当公开某一范围时,均意在公开所有子范围和单独数值,就如同其被单独阐述。本发明并不被所公开的实施方案所限制,这些实施方案包括在附图中示出或者在说明书中示例的任何内容,其作为示例或图示而给出,而并非限制性的。本发明的范围应该仅仅被权利要求书所限定。
表1:选择性蚀刻材料系统的实例
表2:可用于制造LED的功能层组成(见图3E)
1 GaAs:C 5nm 1019 P型接触
2 Al0.45Ga0.55As:C 800nm 1018 P型分布器
3 Al0.5In0.5P:Mg 200nm 1018 覆层
4 Al0.25Ga0.25In0.5P 6nm 未掺杂 势垒
5 Ga0.44In0.56P 6nm 未掺杂 Q阱
6 Al0.25Ga0.25In0.5P 6nm 未掺杂 势垒
7 Ga0.44In0.56P 6nm 未掺杂 Q阱
8 Al0.25Ga0.25In0.5P 6nm 未掺杂 势垒
9 Ga0.44In0.56P 6nm 未掺杂 Q阱
10 Al0.25Ga0.25In0.5P 6nm 未掺杂 势垒
11 Ga0.44In0.56P 6nm 未掺杂 Q阱
12 Al0.25Ga0.25In0.5P 6nm 未掺杂 势垒
13 Al0.5In0.5P 200nm 1018 覆层
14 Al0.45Ga0.55As:Te 800nm 1018 N型分布器
15 GaAs:Te 500nm 1019 N型接触

Claims (88)

1.一种用于制造器件或器件构件的方法,所述方法包括以下步骤:
提供一多层结构,其包括多个功能层和多个松脱层;其中所述松脱层的至少一部分位于所述多层结构中的功能层之间;
通过将一个或多个所述松脱层或其一部分与一个或多个所述功能层分离,将所述功能层的至少一部分从所述多层结构松脱,从而由单个功能层产生多个可转移结构,所述可转移结构来自所述功能层;以及
将一个或多个所述可转移结构印刷到器件衬底或由器件衬底支撑的器件构件上,从而制造所述器件或所述器件构件。
2.根据权利要求1所述的方法,其中将所述功能层的至少一部分从所述多层结构松脱的所述步骤包括物理分离至少一对相邻层,其中,所述一对相邻层包括在所述多层结构中在功能层相邻位置的一个松脱层。
3.根据权利要求1所述的方法,其中将所述功能层的至少一部分从所述多层结构松脱的所述步骤包括将所述多层结构中的一个或多个所述松脱层的至少一部分去除。
4.根据权利要求1所述的方法,其中将所述功能层的至少一部分从所述多层结构松脱的所述步骤包括使用选自如下组的技术将一个或多个所述松脱层或其一部分与一个或多个所述功能层分离,所述组包括:
蚀刻一个或多个松脱层;
热冲击一个或多个松脱层;
通过将所述松脱层暴露于来自激光源的电磁辐射,从而烧蚀或分解一个或多个松脱层;以及
通过将所述松脱层与化学试剂接触来分解一个或多个松脱层。
5.根据权利要求1所述的方法,其中所述功能层至少部分地对于来自照射所述多层结构的激光源的电磁辐射透明,其中,所述电磁辐射能够烧蚀或分解所述松脱层的至少一部分,所述方法进一步包括将所述多层结构暴露于来自所述激光源的所述电磁辐射的步骤,从而烧蚀或分解一个或多个所述松脱层的至少一部分。
6.根据权利要求5所述的方法,其中该多层结构被支撑在衬底上,所述电磁辐射至少部分地穿过所述衬底,从而烧蚀或分解一个或多个所述松脱层的至少一部分。
7.根据权利要求1所述的方法,其中将所述功能层的至少一部分从所述多层结构松脱的所述步骤包括以下步骤:
将界面裂缝引入一个或多个所述松脱层;以及
机械压迫所述松脱层,从而导致所述界面裂缝的扩展,从而导致一个或多个功能层松脱。
8.根据权利要求7所述的方法,其中所述裂缝被机械方法、化学方法或热方法引入到一个或多个所述松脱层中。
9.根据权利要求1所述的方法,其中在所述多层结构中的所述功能层一次一个地被松脱。
10.根据权利要求1所述的方法,其中在所述多层结构中多于一个的所述功能层被同时松脱。
11.根据权利要求1所述的方法,进一步包括提供一个与一个或多个功能层物理接触的掩模层的步骤,其中在将所述功能层的至少一部分从所述多层结构松脱的所述步骤期间,所述掩模层能够防止一个或多个功能层暴露于提供给所述多层结构的蚀刻剂或溶剂。
12.根据权利要求1所述的方法,进一步包括以下步骤:在将所述功能层的至少一部分从所述多层结构松脱的所述步骤之前,提供与一个或多个所述功能层接触的承载膜。
13.根据权利要求1所述的方法,进一步包括以下步骤:在至少一个所述功能层中制造凹进的特征部,从而产生具有一个或多个预选的微米级或纳米级的物理尺寸的所述可转移结构。
14.根据权利要求13所述的方法,其中在至少一个所述功能层中产生凹进特征部的所述步骤使用选自如下组的图案化技术来进行,所述组包括光刻和电子束直接成像。
15.根据权利要求1所述的方法,其中通过接触印刷来执行将一个或多个所述可转移结构印刷到所述器件衬底或被所述器件衬底支撑的器件构件上的步骤。
16.根据权利要求15所述的方法,其中将一个或多个所述可转移结构印刷到所述器件衬底或被所述器件衬底支撑的器件构件上的所述步骤通过选自如下组的技术进行,所述组包括:干式转移接触印刷和软式光刻微转移印刷。
17.根据权利要求16所述的方法,其中印刷可转移结构的所述步骤包括:将目标衬底与所述多层结构上的所述功能层接触并从所述多层结构去除所述目标衬底,从而将所述功能层的至少一部分从所述多层结构转移到所述目标衬底。
18.根据权利要求16所述的方法,其中印刷可转移结构的所述步骤包括:将弹性印模与所述多层结构上的所述功能层接触并从所述多层结构去除所述弹性印模,从而将所述功能层的至少一部分从所述多层结构转移到所述弹性印模。
19.根据权利要求1所述的方法,其中将一个或多个所述可转移结构印刷到所述器件衬底或由所述器件衬底所支撑的器件构件上的所述步骤通过溶液印刷进行。
20.根据权利要求19所述的方法,其中将一个或多个所述可转移结构印刷到所述器件衬底或由所述器件衬底所支撑的器件构件上的所述步骤通过选自如下组的技术进行,所述组包括:流体自组装、喷墨印刷、热转移印刷和丝网印刷。
21.根据权利要求1所述的方法,其中所述多层结构的至少一个所述功能层包括一个半导体层或一序列的半导体层。
22.根据权利要求21所述的方法,其中所述序列的半导体层包括选自如下组的至少一个半导体层,所述组包括:有机半导体层和无机半导体层。
23.根据权利要求21所述的方法,其中所述序列的半导体层包括包含不同半导体材料的至少两个半导体层。
24.根据权利要求1所述的方法,其中所述多层结构的至少一个所述功能层包括一个或多个介电层或一个或多个导体层。
25.根据权利要求1所述的方法,其中所述多层结构进一步包括与一个或多个功能层物理接触的一个或多个承载膜。
26.根据权利要求1所述的方法,其中所述多层结构的至少一个所述功能层包括电子器件、光学或光电器件、或者电子、光学或光电器件的构件。
27.根据权利要求26所述的方法,其中所述多层结构的至少一个所述功能层包括电子、光学或光电器件,或者电子、光学或光电器件的构件,上述器件选自如下组,该组包括:P-N结、薄膜晶体管、单结太阳能电池、多结太阳能电池、光电二极管、发光二极管、激光器、CMOS器件、MOSFET器件、MESFET器件、和HEMT器件。
28.根据权利要求1-27中任一项所述的方法,进一步包括步骤:在衬底上产生所述多层结构,其中至少一个松脱层设置在所述功能层和所述衬底之间。
29.根据权利要求28所述的方法,其中在所述衬底上产生所述多层结构的所述步骤使用选自如下组的技术进行,所述组包括:气相外延、分子束外延、有机金属化学气相沉积、溅射沉积、溶胶凝胶涂布、电子束蒸发沉积、等离子体增强型化学气相沉积、原子层沉积、液相外延、电化学沉积和旋涂。
30.根据权利要求28所述的方法,进一步包括重复如下步骤的步骤:在衬底上产生所述多层结构,从所述多层结构松脱所述功能层的至少一部分以及印刷一个或多个所述可转移结构;其中在重复在衬底上产生所述多层结构、将所述功能层的至少一部分从所述多层结构松脱以及印刷一个或多个所述可转移结构的步骤期间,重复使用所述衬底。
31.根据权利要求28所述的方法,其中所述多层结构的所述功能层的至少一部分、松脱层或该二者为在所述衬底上外延生长的薄膜。
32.根据权利要求31所述的方法,其中所述功能层和松脱层包括在所述衬底上外延生长的预选定序列的薄膜。
33.根据权利要求32所述的方法,其中所述预选定序列的薄膜包括在所述衬底上外延生长的交替的松脱层和功能层。
34.根据权利要求1所述的方法,其中所述多层结构的所述功能层具有选自5nm到50,000纳米的范围的厚度。
35.根据权利要求1所述的方法,其中所述多层结构包括2个到200个功能层。
36.根据权利要求1所述的方法,其中所述多层结构包括2个到200个松脱层。
37.根据权利要求1所述的方法,包括制造光电器件或器件阵列、晶体管器件或器件阵列、发光二极管器件或器件阵列、激光器或激光器阵列、或者传感器或传感器阵列的方法。
38.根据权利要求1所述的方法,包括制造集成电子电路、微机电器件或纳米机电器件的方法。
39.根据权利要求1所述的方法,进一步包括提供一个与一个或多个功能层物理接触的掩模层的步骤,其中在将所述功能层的至少一部分从所述多层结构松脱的所述步骤期间,所述掩模层能够防止一个或多个功能层暴露于提供给所述多层结构的化学试剂。
40.根据权利要求13所述的方法,其中在至少一个所述功能层中产生凹进特征部的所述步骤使用软式光刻来进行。
41.根据权利要求15所述的方法,其中将一个或多个所述可转移结构印刷到所述器件衬底或被所述器件衬底支撑的器件构件上的所述步骤通过软式光刻纳米转移印刷进行。
42.根据权利要求21所述的方法,其中所述序列的半导体层包括选自如下组的至少一个半导体层,所述组包括:单晶半导体层和III-V半导体层。
43.根据权利要求28所述的方法,其中在所述衬底上产生所述多层结构的所述步骤使用选自如下组的技术进行,所述组包括:物理气相沉积和化学气相沉积。
44.根据权利要求13所述的方法,其中在至少一个所述功能层中产生凹进特征部的所述步骤使用光蚀除图案化方法来进行。
45.根据权利要求21所述的方法,其中所述序列的半导体层包括为IV族单质或化合物半导体的至少一个半导体层。
46.根据权利要求28所述的方法,其中在所述衬底上产生所述多层结构的所述步骤使用蒸发沉积进行。
47.一种制造可转移半导体结构的方法,所述方法包括以下步骤:
提供一多层结构,其包括多个功能层和多个松脱层;其中所述松脱层的至少一部分位于所述多层结构中的功能层之间,所述功能层的至少一部分包括一个或多个半导体薄膜;以及
通过将一个或多个所述松脱层或其一部分与一个或多个所述功能层分离,将所述功能层的至少一部分从所述多层结构松脱,从而产生所述可转移半导体结构,其中单个松脱的功能层产生多个可转移半导体结构,所述可转移半导体结构来自所述功能层。
48.根据权利要求47所述的方法,进一步包括在衬底上产生所述多层结构的步骤,其中至少一个松脱层设置在所述功能层和所述衬底之间。
49.根据权利要求48所述的方法,进一步包括以下步骤:重复在衬底上产生所述多层结构并将所述功能层的至少一部分从所述多层结构松脱的所述步骤;其中,在重复在衬底上产生所述多层结构并将所述功能层的至少一部分从所述多层结构松脱的步骤期间,重复使用所述衬底。
50.一种用于制造光电器件或器件阵列的方法,所述方法包括步骤:
提供一多层结构,其包括多个功能层和多个松脱层;其中在所述多层结构中所述松脱层的至少一部分位于功能层之间,所述功能层的至少一部分包括光电电池;
通过将一个或多个所述松脱层或其一部分与一个或多个所述功能层分离,将所述功能层的至少一部分从所述多层结构松脱,从而由所述功能层中的一个产生多个可转移光电电池,所述可转移光电电池来自所述功能层;以及
通过接触印刷或溶液印刷将一个或多个所述可转移光电电池印刷到器件衬底或由器件衬底支撑的器件构件上,从而制造所述光电器件或器件阵列。
51.根据权利要求50所述的方法,其中所述功能层的所述光电电池包括预选定序列的半导体薄膜。
52.根据权利要求51所述的方法,进一步包括以下步骤:在至少一个所述功能层中制造凹进特征部,从而产生具有一个或多个预选定的微米级或纳米级的物理尺寸的所述可转移光电电池。
53.一种用于制造器件或器件构件的方法,所述方法包括步骤:
在衬底表面的至少一部分上设置牺牲层,所述牺牲层具有承接表面;
对所述牺牲层进行图案化,以产生暴露的衬底表面的图案;
将功能层沉积在所述牺牲层承接表面以及所暴露的衬底表面图案的至少一部分上,从而产生与所述所暴露的衬底的图案相对应的多个功能层锚;以及
松脱所述功能层的至少一部分,其中功能层锚的图案保持至少部分地锚定于所述衬底,并且所述功能层的未锚定于所述衬底的至少一部分被松脱,从而由所述功能层产生多个可转移结构。
54.根据权利要求53所述的方法,进一步包括将一个或多个所述可转移结构印刷到器件衬底或由器件衬底支撑的器件构件上。
55.根据权利要求54所述的方法,其中所述松脱步骤包括:
将弹性印模与所述衬底上的所述功能层的至少一部分接触;以及
将所述印模从所述衬底去除,从而去除所述功能层的未锚定到所述衬底的至少一部分。
56.根据权利要求54所述的方法,其中所述松脱步骤包括:
将所述器件衬底或器件构件与所述衬底上的所述功能层的至少一部分接触;以及
将所述器件衬底或器件构件从所述衬底去除,从而将所述功能层的未锚定到所述衬底的至少一部分转移到所述器件衬底或器件构件。
57.根据权利要求53所述的方法,其中松脱步骤使用选自如下组的技术,所述组包括:
蚀刻所述牺牲层;
热冲击所述牺牲层;
通过将所述牺牲层暴露于来自激光源的辐射而进行烧蚀或分解;以及
通过将所述牺牲层与化学试剂接触,从而分解所述牺牲层。
58.根据权利要求53-57中任一项所述的方法,其中所述功能层为多层结构的一部分。
59.一种用于制造设置在一多层阵列中的多个可转移半导体元件的方法,所述方法包括以下步骤:
提供具有外表面的晶片,所述晶片包括无机半导体;
通过将第一掩模设置到所述外表面而对所述外表面的选定区域进行掩蔽,从而产生所述晶片的所述外表面的掩蔽区域和未掩蔽区域;
蚀刻所述晶片的所述外表面的所述未掩蔽区域,从而产生从所述外表面延伸到所述晶片中的多个起伏特征部,其中每个所述起伏特征部的至少一部分均具有至少一个弯曲侧表面,该弯曲侧表面具有沿着所述至少一个弯曲侧表面的长度在空间上变化的弯曲轮廓;
通过设置第二掩模对所述弯曲侧表面进行掩蔽,其中所述弯曲侧表面仅仅被所述第二掩模部分地掩蔽,从而产生沿着所述弯曲侧表面的长度设置的掩蔽区域和未掩蔽区域;以及
蚀刻所述弯曲侧表面的所述未掩蔽区域;从而由所述晶片产生设置在所述多层阵列中的所述多个可转移半导体元件,其中所述多层的一层提供多个可转移半导体元件。
60.根据权利要求59所述的方法,其中所述晶片为体半导体晶片。
61.根据权利要求59所述的方法,其中所述晶片为具有(111)取向的硅晶片。
62.根据权利要求59所述的方法,其中蚀刻所述晶片的所述外表面的所述未掩蔽区域的所述步骤通过将所述起伏特征部的侧表面循环暴露于蚀刻剂以及蚀刻阻挡材料来进行。
63.根据权利要求62所述的方法,其中所述蚀刻步骤通过将所述起伏特征部的侧表面循环暴露于反应性离子蚀刻剂以及蚀刻阻挡材料来进行。
64.根据权利要求62所述的方法,其中通过使用感应耦合等离子体反应离子蚀刻、缓冲氧化物蚀刻剂、或者感应耦合等离子体反应离子蚀刻以及缓冲氧化物蚀刻剂蚀刻技术的组合来进行所述蚀刻步骤。
65.根据权利要求59所述的方法,其中所述弯曲侧表面的所述弯曲轮廓具有多个特征部延伸长度,所述特征部延伸长度与所述弯曲侧表面的长度的纵轴线相交。
66.根据权利要求65所述的方法,其中所述弯曲侧表面的所述弯曲轮廓的所述特征部选自如下组,所述组包括设置在所述弯曲侧表面上的脊、纹波和圆齿形凹进特征部。
67.根据权利要求66所述的方法,其中在通过设置所述第二掩模而对所述弯曲侧表面进行掩蔽的步骤期间,所述脊、纹波或圆齿形凹进特征部用作遮蔽掩模,从而产生所述弯曲侧表面的所述未掩蔽区域。
68.根据权利要求59所述的方法,其中所述通过设置第二掩模而对所述弯曲侧表面进行掩蔽的步骤通过掩模材料的有角度的气相沉积来进行。
69.根据权利要求59所述的方法,其中蚀刻侧表面的所述未掩蔽区域的所述步骤通过各向异性蚀刻来执行。
70.根据权利要求69所述的方法,其中所述晶片为具有(111)取向的硅晶片,并且其中蚀刻侧表面的所述未掩蔽区域的所述步骤通过沿着所述硅晶片的<110>方向的各向异性蚀刻来进行。
71.根据权利要求69所述的方法,其中所述各向异性蚀刻通过将侧表面的所述未掩蔽区域暴露于强碱来提供。
72.根据权利要求59所述的方法,其中侧表面的所述未掩蔽区域的所述蚀刻步骤产生所述可转移半导体元件,其中每个所述元件经由桥元件连接到所述晶片。
73.根据权利要求59所述的方法,其中所述第一掩模、所述第二掩模或此二者为蚀刻阻挡掩模。
74.一种将多个可转移半导体元件组装在衬底上的方法,所述方法包括以下步骤:
提供权利要求59所述的设置在一多层阵列中的所述多个可转移半导体元件;
将所述可转移半导体元件印刷在所述衬底上。
75.一种制造电子器件或电子器件的构件的方法,所述方法包括以下步骤:
提供权利要求59所述的设置在一多层阵列中的所述多个可转移半导体元件;
将所述可转移半导体元件印刷在衬底上,从而制造所述电子器件或所述电子器件的构件。
76.根据权利要求74或75所述的方法,其中所述印刷步骤通过接触印刷进行。
77.根据权利要求74或75所述的方法,其中所述印刷步骤为依次印刷在所述多层阵列的多层的不同层中的可转移半导体。
78.根据权利要求77所述的方法,其中印刷所述阵列的第一层中的半导体元件使得在位于所述第一层下方的所述阵列的层中的一个或多个可转移半导体元件暴露出来。
79.一种用于产生可转移半导体元件的多层阵列的方法,所述方法包括步骤:
提供具有外表面的衬底;
提供由所述衬底的所述外表面支撑的多层结构,其中所述多层结构包括交替顺序的半导体层和牺牲层;
通过从所述多层结构去除材料产生一个或多个凹进特征部;以及
将异质锚元件沉积或涂布在所述一个或多个凹进特征部中,从而将每个所述半导体层的至少一部分锚定到所述衬底的外表面,或锚定到在所述多层结构的半导体顶层下方的一个或多个半导体层,或锚定到上述二者,导致单个半导体层中的多个可转移半导体元件。
80.根据权利要求79所述的方法,其中所述凹进特征部从所述多层结构的顶层贯通到所述衬底外表面,从而暴露出所述衬底外表面的区域,并且所述异质锚元件将每个所述半导体层锚定到所述衬底外表面。
81.根据权利要求79所述的方法,其中所述凹进特征部从所述多层结构的第一半导体顶层贯穿到在所述顶层下方的第二半导体层,并且所述异质锚元件将所述第一半导体层锚定到所述第二半导体层。
82.根据权利要求79-81中任一项所述的方法,其中所述沉积或涂布步骤使用选自如下组的技术进行,所述组包括:气相外延、分子束外延、有机金属化学气相沉积、溅射沉积、溶胶凝胶涂布、电子束蒸发沉积、等离子体增强型化学气相沉积、原子层沉积、液相外延、电化学沉积和旋涂。
83.根据权利要求81所述的方法,进一步包括:
选择性去除处于所述第一半导体顶层下方的所述牺牲层;
松脱所述第一半导体顶层;
去除所述锚元件;
并且重复所述产生和沉积步骤,从而将所述第二半导体层锚定到在所述第二半导体层下方的第三半导体层。
84.根据权利要求83所述的方法,其中重复所述过程,直至所有半导体层从所述衬底外表面去除。
85.根据权利要求84所述的方法,其中所述衬底包括晶片,并且所述衬底被重复使用来支撑一第二多层结构。
86.根据权利要求80所述的方法,进一步包括:
去除所述牺牲层;
松脱所述半导体层;
去除所述锚元件;以及
通过提供由所述衬底的所述外表面支撑的第二多层结构并重复所述用于产生将每个所述半导体层锚定到所述衬底外表面的锚的步骤,来重复使用所述衬底。
87.根据权利要求79-81中任一项所述的方法,其中所述沉积或涂布步骤使用选自如下组的技术进行,所述组包括:化学气相沉积和物理气相沉积。
88.根据权利要求79-81中任一项所述的方法,其中所述沉积或涂布步骤使用蒸发沉积进行。
CN200780034881.7A 2006-09-20 2007-09-20 用于制造可转移半导体结构、器件和器件构件的松脱策略 Active CN101517700B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410111306.4A CN103956336B (zh) 2006-09-20 2007-09-20 用于制造可转移半导体结构、器件和器件构件的松脱策略

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US82635406P 2006-09-20 2006-09-20
US60/826,354 2006-09-20
US94465307P 2007-06-18 2007-06-18
US60/944,653 2007-06-18
PCT/US2007/079070 WO2008036837A2 (en) 2006-09-20 2007-09-20 Release strategies for making transferable semiconductor structures, devices and device components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410111306.4A Division CN103956336B (zh) 2006-09-20 2007-09-20 用于制造可转移半导体结构、器件和器件构件的松脱策略

Publications (2)

Publication Number Publication Date
CN101517700A CN101517700A (zh) 2009-08-26
CN101517700B true CN101517700B (zh) 2014-04-16

Family

ID=39201282

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200780034881.7A Active CN101517700B (zh) 2006-09-20 2007-09-20 用于制造可转移半导体结构、器件和器件构件的松脱策略
CN201410111306.4A Active CN103956336B (zh) 2006-09-20 2007-09-20 用于制造可转移半导体结构、器件和器件构件的松脱策略

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201410111306.4A Active CN103956336B (zh) 2006-09-20 2007-09-20 用于制造可转移半导体结构、器件和器件构件的松脱策略

Country Status (8)

Country Link
US (4) US7932123B2 (zh)
EP (1) EP2064734B1 (zh)
JP (3) JP5319533B2 (zh)
KR (3) KR101588019B1 (zh)
CN (2) CN101517700B (zh)
MY (1) MY149190A (zh)
TW (1) TWI438827B (zh)
WO (1) WO2008036837A2 (zh)

Families Citing this family (476)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
CN102097458B (zh) 2004-06-04 2013-10-30 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法和设备
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US8217381B2 (en) * 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
US8242025B2 (en) * 2006-01-16 2012-08-14 Panasonic Corporation Method for producing semiconductor chip, and field effect transistor and method for manufacturing same
US20100047959A1 (en) * 2006-08-07 2010-02-25 Emcore Solar Power, Inc. Epitaxial Lift Off on Film Mounted Inverted Metamorphic Multijunction Solar Cells
WO2008036837A2 (en) 2006-09-20 2008-03-27 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
US8005402B2 (en) * 2007-01-10 2011-08-23 Kabushiki Kaisha Toshiba Charging device, image forming apparatus and charging method
EP2104954B1 (en) 2007-01-17 2022-03-16 The Board of Trustees of the University of Illinois Optical systems fabricated by printing-based assembly
US8097922B1 (en) * 2007-05-29 2012-01-17 The Regents Of The University Of California Nanometer-scale transistor architecture providing enhanced carrier mobility
WO2009067347A1 (en) * 2007-11-20 2009-05-28 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Lattice matched multi- junction photovoltaic and optoelectronic devices
EP2963675A1 (en) 2008-03-05 2016-01-06 The Board of Trustees of The University of Illinois Stretchable and foldable electronic devices
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US8835752B2 (en) * 2008-05-12 2014-09-16 Villanova University Solar cells and method of making solar cells
KR101405023B1 (ko) 2008-07-04 2014-06-10 주성엔지니어링(주) 박막형 태양전지 및 그 제조방법
US7927976B2 (en) * 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
US7999454B2 (en) * 2008-08-14 2011-08-16 Global Oled Technology Llc OLED device with embedded chip driving
KR20100027526A (ko) * 2008-09-02 2010-03-11 삼성전기주식회사 박막 소자 제조방법
US8679888B2 (en) * 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
EP2349440B1 (en) 2008-10-07 2019-08-21 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
WO2010059781A1 (en) * 2008-11-19 2010-05-27 Semprius, Inc. Printing semiconductor elements by shear-assisted elastomeric stamp transfer
JP2010135538A (ja) * 2008-12-04 2010-06-17 Sumco Corp 貼り合わせウェーハの製造方法
DE112010000763T5 (de) 2009-02-09 2013-03-14 Semprius Inc. Konzentrator-typ photovoltaik-(cpv) module, empfänger und teilempfänger und verfahren zur ausbildung dergleichen
US8778199B2 (en) 2009-02-09 2014-07-15 Emoore Solar Power, Inc. Epitaxial lift off in inverted metamorphic multijunction solar cells
US8409911B2 (en) * 2009-02-24 2013-04-02 Sunpower Corporation Methods for metallization of solar cells
WO2010111601A2 (en) 2009-03-26 2010-09-30 Semprius, Inc. Methods of forming printable integrated circuit devices and devices formed thereby
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
JP2012526396A (ja) 2009-05-04 2012-10-25 マイクロリンク デバイセズ, インク. 太陽電池アレイの組立技法及びそれにより形成された太陽電池
WO2010132552A1 (en) * 2009-05-12 2010-11-18 The Board Of Trustees Of The University Of Illinois Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US8261660B2 (en) 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
WO2011034586A2 (en) 2009-09-16 2011-03-24 Semprius, Inc. High-yield fabrication of large-format substrates with distributed, independent control elements
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US12027518B1 (en) 2009-10-12 2024-07-02 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8536023B2 (en) * 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
WO2011069242A1 (en) * 2009-12-09 2011-06-16 Cooledge Lighting Inc. Semiconductor dice transfer-enabling apparatus and method for manufacturing transfer-enabling apparatus
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US10918298B2 (en) 2009-12-16 2021-02-16 The Board Of Trustees Of The University Of Illinois High-speed, high-resolution electrophysiology in-vivo using conformal electronics
US20110151588A1 (en) * 2009-12-17 2011-06-23 Cooledge Lighting, Inc. Method and magnetic transfer stamp for transferring semiconductor dice using magnetic transfer printing techniques
US8334152B2 (en) 2009-12-18 2012-12-18 Cooledge Lighting, Inc. Method of manufacturing transferable elements incorporating radiation enabled lift off for allowing transfer from host substrate
US20110151114A1 (en) * 2009-12-18 2011-06-23 Cooledge Lighting, Inc. Composite patterning device and method for removing elements from host substrate by establishing conformal contact between device and a contact surface
US8759917B2 (en) * 2010-01-04 2014-06-24 Samsung Electronics Co., Ltd. Thin-film transistor having etch stop multi-layer and method of manufacturing the same
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
TWI623063B (zh) 2010-03-12 2018-05-01 美國伊利諾大學理事會 生物醫學裝置及其製造方法、流體遞送監視器、監視在管子中流動之流體的方法、近接感測器及感測兩個物件之間的距離的方法
CN102892356B (zh) 2010-03-17 2016-01-13 伊利诺伊大学评议会 基于生物可吸收基质的可植入生物医学装置
US9161448B2 (en) 2010-03-29 2015-10-13 Semprius, Inc. Laser assisted transfer welding process
SG185547A1 (en) 2010-05-18 2012-12-28 Agency Science Tech & Res Method of forming a light emitting diode structure and a light emitting diode structure
US8525228B2 (en) * 2010-07-02 2013-09-03 The Regents Of The University Of California Semiconductor on insulator (XOI) for high performance field effect transistors
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
CN103155114B (zh) * 2010-08-06 2016-10-12 森普留斯公司 用于释放可印刷化合物半导体器件的材料和过程
CN104091862B (zh) * 2010-08-06 2017-06-23 晶元光电股份有限公司 半导体光电元件及其制作方法
WO2012027458A1 (en) * 2010-08-26 2012-03-01 Semprius, Inc. Structures and methods for testing printable integrated circuits
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US20120091474A1 (en) * 2010-10-13 2012-04-19 NuPGA Corporation Novel semiconductor and optoelectronic devices
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US12080743B2 (en) 2010-10-13 2024-09-03 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US12094892B2 (en) 2010-10-13 2024-09-17 Monolithic 3D Inc. 3D micro display device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US12068187B2 (en) 2010-11-18 2024-08-20 Monolithic 3D Inc. 3D semiconductor device and structure with bonding and DRAM memory cells
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US12033884B2 (en) 2010-11-18 2024-07-09 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US9899329B2 (en) 2010-11-23 2018-02-20 X-Celeprint Limited Interconnection structures and methods for transfer-printed integrated circuit elements with improved interconnection alignment tolerance
US9024310B2 (en) 2011-01-12 2015-05-05 Tsinghua University Epitaxial structure
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US9184319B2 (en) 2011-01-14 2015-11-10 The Board Of Trustees Of The Leland Stanford Junior University Multi-terminal multi-junction photovoltaic cells
US8932898B2 (en) 2011-01-14 2015-01-13 The Board Of Trustees Of The Leland Stanford Junior Univerity Deposition and post-processing techniques for transparent conductive films
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
JP2014523633A (ja) 2011-05-27 2014-09-11 エムシー10 インコーポレイテッド 電子的、光学的、且つ/又は機械的装置及びシステム並びにこれらの装置及びシステムを製造する方法
WO2012167096A2 (en) 2011-06-03 2012-12-06 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US8889485B2 (en) * 2011-06-08 2014-11-18 Semprius, Inc. Methods for surface attachment of flipped active componenets
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
EP2727134A4 (en) * 2011-06-28 2015-04-01 Saint Gobain Cristaux Et Detecteurs SEMICONDUCTOR SUBSTRATE AND METHOD OF FORMING THE SAME
US9555644B2 (en) 2011-07-14 2017-01-31 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US20130056044A1 (en) * 2011-08-03 2013-03-07 Crystal Solar, Inc. Photovoltaic module fabrication with thin single crystal epitaxial silicon devices
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US9412727B2 (en) 2011-09-20 2016-08-09 Semprius, Inc. Printing transferable components using microstructured elastomeric surfaces with pressure modulated reversible adhesion
US8492187B2 (en) * 2011-09-29 2013-07-23 International Business Machines Corporation High throughput epitaxial liftoff for releasing multiple semiconductor device layers from a single base substrate
EP2761677B1 (en) * 2011-09-30 2019-08-21 Microlink Devices, Inc. Light emitting diode fabricated by epitaxial lift-off
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP5854794B2 (ja) * 2011-11-25 2016-02-09 キヤノン株式会社 有機el装置の製造方法
EP2786644B1 (en) 2011-12-01 2019-04-10 The Board of Trustees of the University of Illionis Transient devices designed to undergo programmable transformations
WO2013118536A1 (ja) * 2012-02-07 2013-08-15 東京応化工業株式会社 処理方法及び処理装置
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
JP2015521303A (ja) 2012-03-30 2015-07-27 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシ 表面への形状適合可能な付属物装着可能電子デバイス
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
WO2013165031A1 (ko) * 2012-04-30 2013-11-07 (주)버티클 반도체 소자 제조 방법
JPWO2014017063A1 (ja) * 2012-07-24 2016-07-07 住友化学株式会社 半導体基板、半導体基板の製造方法及び複合基板の製造方法
KR101878754B1 (ko) 2012-09-13 2018-07-17 삼성전자주식회사 대면적 갈륨 나이트라이드 기판 제조방법
US8946052B2 (en) * 2012-09-26 2015-02-03 Sandia Corporation Processes for multi-layer devices utilizing layer transfer
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
EP2731126A1 (en) 2012-11-09 2014-05-14 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method for bonding bare chip dies
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US12051674B2 (en) 2012-12-22 2024-07-30 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
KR20140085198A (ko) * 2012-12-27 2014-07-07 서울바이오시스 주식회사 마스크 패턴을 이용한 기판 분리 방법 및 반도체 소자 제조 방법
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10840536B2 (en) 2013-02-06 2020-11-17 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with containment chambers
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
US9613911B2 (en) 2013-02-06 2017-04-04 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
WO2014126927A1 (en) 2013-02-13 2014-08-21 The Board Of Trustees Of The University Of Illinois Injectable and implantable cellular-scale electronic devices
US9875935B2 (en) 2013-03-08 2018-01-23 Infineon Technologies Austria Ag Semiconductor device and method for producing the same
WO2014138465A1 (en) 2013-03-08 2014-09-12 The Board Of Trustees Of The University Of Illinois Processing techniques for silicon-based transient devices
US12094965B2 (en) 2013-03-11 2024-09-17 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9812601B2 (en) * 2013-03-15 2017-11-07 Amberwave Inc. Solar celll
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9266717B2 (en) 2013-03-15 2016-02-23 Versana Micro Inc Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9825229B2 (en) 2013-04-04 2017-11-21 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
US10292263B2 (en) 2013-04-12 2019-05-14 The Board Of Trustees Of The University Of Illinois Biodegradable materials for multilayer transient printed circuit boards
US9496229B2 (en) 2013-04-12 2016-11-15 The Board Of Trustees Of The University Of Illinois Transient electronic devices comprising inorganic or hybrid inorganic and organic substrates and encapsulates
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US20150129017A1 (en) * 2013-07-08 2015-05-14 Solexel, Inc. Thin film solar cell lamination stack for high volume manufacturing
AU2014329510A1 (en) 2013-10-02 2016-04-21 The Board Of Trustees Of The University Of Illinois Organ mounted electronics
WO2015084868A1 (en) * 2013-12-02 2015-06-11 The Regents Of The University Of Michigan Fabrication of thin-film electronic devices with non-destructive wafer reuse
US9058990B1 (en) * 2013-12-19 2015-06-16 International Business Machines Corporation Controlled spalling of group III nitrides containing an embedded spall releasing plane
US10069033B2 (en) * 2014-01-15 2018-09-04 The Regents Of The University Of Michigan Integration of epitaxial lift-off solar cells with mini-parabolic concentrator arrays via printing method
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US12094829B2 (en) 2014-01-28 2024-09-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US9123585B1 (en) 2014-02-11 2015-09-01 International Business Machines Corporation Method to form group III-V and Si/Ge FINFET on insulator
US9129863B2 (en) 2014-02-11 2015-09-08 International Business Machines Corporation Method to form dual channel group III-V and Si/Ge FINFET CMOS
US9236565B2 (en) * 2014-04-29 2016-01-12 National University Of Singapore Method for fabricating a magnetoresistive device
US9274277B2 (en) 2014-05-15 2016-03-01 Globalfoundries Inc. Waveguide devices with supporting anchors
CN113437054A (zh) 2014-06-18 2021-09-24 艾克斯展示公司技术有限公司 微组装led显示器
US9929053B2 (en) 2014-06-18 2018-03-27 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
US9761754B2 (en) 2014-06-18 2017-09-12 X-Celeprint Limited Systems and methods for preparing GaN and related materials for micro assembly
US9865600B2 (en) 2014-06-18 2018-01-09 X-Celeprint Limited Printed capacitors
WO2015193433A2 (en) 2014-06-18 2015-12-23 X-Celeprint Limited Micro assembled high frequency devices and arrays
KR102174266B1 (ko) 2014-06-18 2020-11-05 엑스-셀레프린트 리미티드 트랜스퍼가능한 반도체 구조체들의 방출을 제어하기 위한 시스템들 및 방법들
CN106796911B (zh) 2014-07-20 2021-01-01 艾克斯展示公司技术有限公司 用于微转贴印刷的设备及方法
US9111983B1 (en) 2014-07-31 2015-08-18 Freescale Semiconductor, Inc. Methods for removing adhesive layers from semiconductor wafers
CN106999060A (zh) 2014-08-11 2017-08-01 伊利诺伊大学评议会 用于分析温度特性和热传送特性的表皮器件
WO2016025430A1 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Epidermal photonic systems and methods
EP3179899B1 (en) 2014-08-11 2023-10-04 The Board of Trustees of the University of Illinois Devices and related methods for epidermal characterization of biofluids
WO2016030422A1 (en) 2014-08-26 2016-03-03 X-Celeprint Limited Micro assembled hybrid displays and lighting elements
US9468050B1 (en) 2014-09-25 2016-10-11 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9818725B2 (en) 2015-06-01 2017-11-14 X-Celeprint Limited Inorganic-light-emitter display with integrated black matrix
US9537069B1 (en) 2014-09-25 2017-01-03 X-Celeprint Limited Inorganic light-emitting diode with encapsulating reflector
US9991163B2 (en) 2014-09-25 2018-06-05 X-Celeprint Limited Small-aperture-ratio display with electrical component
US9799261B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9799719B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Active-matrix touchscreen
US9922956B2 (en) * 2014-09-26 2018-03-20 Qualcomm Incorporated Microelectromechanical system (MEMS) bond release structure and method of wafer transfer for three-dimensional integrated circuit (3D IC) integration
US10538028B2 (en) 2014-11-17 2020-01-21 The Board Of Trustees Of The University Of Illinois Deterministic assembly of complex, three-dimensional architectures by compressive buckling
WO2016120398A1 (en) * 2015-01-30 2016-08-04 Osram Opto Semiconductors Gmbh Method for producing a semiconductor component and semiconductor component
US10340187B2 (en) * 2015-03-18 2019-07-02 The Regents Of The University Of Michigan Strain relief epitaxial lift-off via pre-patterned mesas
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US9640715B2 (en) 2015-05-15 2017-05-02 X-Celeprint Limited Printable inorganic semiconductor structures
KR20180034342A (ko) 2015-06-01 2018-04-04 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 대안적인 자외선 감지방법
JP2018524677A (ja) 2015-06-01 2018-08-30 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 無線電力及び近距離無線通信機能を備えた小型電子システム
US10102794B2 (en) 2015-06-09 2018-10-16 X-Celeprint Limited Distributed charge-pump power-supply system
US9871345B2 (en) 2015-06-09 2018-01-16 X-Celeprint Limited Crystalline color-conversion device
US11061276B2 (en) 2015-06-18 2021-07-13 X Display Company Technology Limited Laser array display
US10133426B2 (en) 2015-06-18 2018-11-20 X-Celeprint Limited Display with micro-LED front light
US9640391B2 (en) * 2015-06-23 2017-05-02 The Trustees Of The Stevens Institute Of Technology Direct and pre-patterned synthesis of two-dimensional heterostructures
WO2017004576A1 (en) 2015-07-02 2017-01-05 The Board Of Trustees Of The University Of Illinois Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics
US9704821B2 (en) 2015-08-11 2017-07-11 X-Celeprint Limited Stamp with structured posts
US10255834B2 (en) 2015-07-23 2019-04-09 X-Celeprint Limited Parallel redundant chiplet system for controlling display pixels
US10468363B2 (en) 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts
US9640108B2 (en) 2015-08-25 2017-05-02 X-Celeprint Limited Bit-plane pulse width modulated digital display system
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10380930B2 (en) 2015-08-24 2019-08-13 X-Celeprint Limited Heterogeneous light emitter display system
US9899556B2 (en) 2015-09-14 2018-02-20 Wisconsin Alumni Research Foundation Hybrid tandem solar cells with improved tunnel junction structures
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US10230048B2 (en) 2015-09-29 2019-03-12 X-Celeprint Limited OLEDs for micro transfer printing
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
WO2017105581A2 (en) 2015-10-02 2017-06-22 Semprius, Inc. Wafer-integrated, ultra-low profile concentrated photovoltaics (cpv) for space applications
CN110357033B (zh) * 2015-10-22 2020-10-09 艾克斯展示公司技术有限公司 用于控制可转移半导体结构的释放的结构及方法
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US12035531B2 (en) 2015-10-24 2024-07-09 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10066819B2 (en) 2015-12-09 2018-09-04 X-Celeprint Limited Micro-light-emitting diode backlight system
US10091446B2 (en) 2015-12-23 2018-10-02 X-Celeprint Limited Active-matrix displays with common pixel control
US9930277B2 (en) 2015-12-23 2018-03-27 X-Celeprint Limited Serial row-select matrix-addressed system
US9786646B2 (en) 2015-12-23 2017-10-10 X-Celeprint Limited Matrix addressed device repair
US9928771B2 (en) 2015-12-24 2018-03-27 X-Celeprint Limited Distributed pulse width modulation control
CN105609589B (zh) * 2016-01-29 2017-11-03 中国科学院半导体研究所 适用于转印的无机半导体薄膜功能单元的制备方法
US11230471B2 (en) 2016-02-05 2022-01-25 X-Celeprint Limited Micro-transfer-printed compound sensor device
US10361677B2 (en) 2016-02-18 2019-07-23 X-Celeprint Limited Transverse bulk acoustic wave filter
US10200013B2 (en) 2016-02-18 2019-02-05 X-Celeprint Limited Micro-transfer-printed acoustic wave filter device
US10109753B2 (en) 2016-02-19 2018-10-23 X-Celeprint Limited Compound micro-transfer-printed optical filter device
TWI710061B (zh) 2016-02-25 2020-11-11 愛爾蘭商艾克斯展示公司技術有限公司 有效率地微轉印微型裝置於大尺寸基板上
US10193025B2 (en) 2016-02-29 2019-01-29 X-Celeprint Limited Inorganic LED pixel structure
US10150325B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid banknote with electronic indicia
US10150326B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid document with variable state
US9984890B2 (en) * 2016-03-02 2018-05-29 Tokyo Electron Limited Isotropic silicon and silicon-germanium etching with tunable selectivity
US10153257B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-printed display
US10153256B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-transfer printable electronic component
US10917953B2 (en) 2016-03-21 2021-02-09 X Display Company Technology Limited Electrically parallel fused LEDs
US10103069B2 (en) 2016-04-01 2018-10-16 X-Celeprint Limited Pressure-activated electrical interconnection by micro-transfer printing
WO2017173339A1 (en) 2016-04-01 2017-10-05 The Board Of Trustees Of The University Of Illinois Implantable medical devices for optogenetics
US10008483B2 (en) 2016-04-05 2018-06-26 X-Celeprint Limited Micro-transfer printed LED and color filter structure
US10199546B2 (en) 2016-04-05 2019-02-05 X-Celeprint Limited Color-filter device
US10198890B2 (en) 2016-04-19 2019-02-05 X-Celeprint Limited Hybrid banknote with electronic indicia using near-field-communications
US9997102B2 (en) 2016-04-19 2018-06-12 X-Celeprint Limited Wirelessly powered display and system
US10360846B2 (en) 2016-05-10 2019-07-23 X-Celeprint Limited Distributed pulse-width modulation system with multi-bit digital storage and output device
US10622700B2 (en) 2016-05-18 2020-04-14 X-Celeprint Limited Antenna with micro-transfer-printed circuit element
DE102016109459B4 (de) 2016-05-23 2019-06-13 X-Fab Semiconductor Foundries Ag Optimierter Transfer Print (Überführungsdruck) zwischen Trägersubstraten als Verfahren, Trägersubstrat und mikro-technisches Bauelement
DE102016109950B3 (de) 2016-05-30 2017-09-28 X-Fab Semiconductor Foundries Ag Integrierte Schaltung mit einem - durch einen Überführungsdruck aufgebrachten - Bauelement und Verfahren zur Herstellung der integrierten Schaltung
US9997501B2 (en) 2016-06-01 2018-06-12 X-Celeprint Limited Micro-transfer-printed light-emitting diode device
US10453826B2 (en) 2016-06-03 2019-10-22 X-Celeprint Limited Voltage-balanced serial iLED pixel and display
US11137641B2 (en) 2016-06-10 2021-10-05 X Display Company Technology Limited LED structure with polarized light emission
US10653342B2 (en) 2016-06-17 2020-05-19 The Board Of Trustees Of The University Of Illinois Soft, wearable microfluidic systems capable of capture, storage, and sensing of biofluids
US9966301B2 (en) * 2016-06-27 2018-05-08 New Fab, LLC Reduced substrate effects in monolithically integrated RF circuits
DE102016117030B4 (de) 2016-07-17 2018-07-05 X-Fab Semiconductor Foundries Ag Herstellung von Halbleiterstrukturen auf einem Trägersubstrat, die durch Überführungsdruck (Transfer Print) übertragbar sind.
US10475876B2 (en) 2016-07-26 2019-11-12 X-Celeprint Limited Devices with a single metal layer
US10222698B2 (en) 2016-07-28 2019-03-05 X-Celeprint Limited Chiplets with wicking posts
US11064609B2 (en) 2016-08-04 2021-07-13 X Display Company Technology Limited Printable 3D electronic structure
US9997399B2 (en) * 2016-08-16 2018-06-12 Mikro Mesa Technology Co., Ltd. Method for transferring semiconductor structure
US9722134B1 (en) * 2016-08-16 2017-08-01 Mikro Mesa Technology Co., Ltd. Method for transferring semiconductor structure
US9980341B2 (en) 2016-09-22 2018-05-22 X-Celeprint Limited Multi-LED components
US10157880B2 (en) 2016-10-03 2018-12-18 X-Celeprint Limited Micro-transfer printing with volatile adhesive layer
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US10782002B2 (en) 2016-10-28 2020-09-22 X Display Company Technology Limited LED optical components
WO2018085371A1 (en) * 2016-11-01 2018-05-11 Massachusetts Institute Of Technology Lift-off embedded micro and structures
US11027462B2 (en) 2016-11-09 2021-06-08 The Board Of Trustees Of Western Michigan University Polydimethylsiloxane films and method of manufacture
US10347168B2 (en) 2016-11-10 2019-07-09 X-Celeprint Limited Spatially dithered high-resolution
US10600671B2 (en) 2016-11-15 2020-03-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
TWI762428B (zh) 2016-11-15 2022-04-21 愛爾蘭商艾克斯展示公司技術有限公司 微轉印可印刷覆晶結構及方法
US10395966B2 (en) 2016-11-15 2019-08-27 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US12042984B2 (en) 2016-11-17 2024-07-23 Orbotech Ltd. Hybrid, multi-material 3D printing
US10297502B2 (en) 2016-12-19 2019-05-21 X-Celeprint Limited Isolation structure for micro-transfer-printable devices
US10438859B2 (en) 2016-12-19 2019-10-08 X-Celeprint Limited Transfer printed device repair
KR101925565B1 (ko) * 2016-12-30 2018-12-06 (재)한국나노기술원 갈라짐 패턴을 이용한 에피층 분리 방법
US10832609B2 (en) * 2017-01-10 2020-11-10 X Display Company Technology Limited Digital-drive pulse-width-modulated output system
US10332868B2 (en) 2017-01-26 2019-06-25 X-Celeprint Limited Stacked pixel structures
US10468391B2 (en) 2017-02-08 2019-11-05 X-Celeprint Limited Inorganic light-emitting-diode displays with multi-ILED pixels
TWI675402B (zh) * 2017-02-17 2019-10-21 美商美國亞德諾半導體公司 轉印方法及平行轉印方法
US10249739B2 (en) 2017-03-01 2019-04-02 International Business Machines Corporation Nanosheet MOSFET with partial release and source/drain epitaxy
US10396137B2 (en) 2017-03-10 2019-08-27 X-Celeprint Limited Testing transfer-print micro-devices on wafer
KR101898858B1 (ko) * 2017-03-13 2018-10-29 주식회사 페타룩스 태양 전지
US11024608B2 (en) 2017-03-28 2021-06-01 X Display Company Technology Limited Structures and methods for electrical connection of micro-devices and substrates
DE102017108136B4 (de) 2017-04-13 2019-03-14 X-Fab Semiconductor Foundries Ag Geometrisch geformte Bauelemente in einer Anordnung für einen Überführungsdruck (Transfer Print) und zugehörige Verfahren
US10468397B2 (en) 2017-05-05 2019-11-05 X-Celeprint Limited Matrix addressed tiles and arrays
KR102002839B1 (ko) * 2017-05-23 2019-07-23 한국기계연구원 희생층을 이용한 금속패턴 형성방법
US10777700B2 (en) * 2017-06-02 2020-09-15 Wisconsin Alumni Research Foundation Optoelectronic devices based on thin single-crystalline semiconductor films and non-epitaxial optical cavities
CN107188115B (zh) * 2017-06-06 2020-05-01 北京航空航天大学 一种金属/聚合物复合三维微纳米结构的制备方法
US10176991B1 (en) 2017-07-06 2019-01-08 Wisconsin Alumni Research Foundation High-quality, single-crystalline silicon-germanium films
US10804880B2 (en) 2018-12-03 2020-10-13 X-Celeprint Limited Device structures with acoustic wave transducers and connection posts
US10943946B2 (en) 2017-07-21 2021-03-09 X Display Company Technology Limited iLED displays with substrate holes
US10832935B2 (en) 2017-08-14 2020-11-10 X Display Company Technology Limited Multi-level micro-device tethers
DE102017125217A1 (de) * 2017-10-27 2019-05-02 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung von zumindest einem optoelektronischen Bauelement und optoelektronisches Bauelement
US10734303B2 (en) 2017-11-06 2020-08-04 QROMIS, Inc. Power and RF devices implemented using an engineered substrate structure
US10836200B2 (en) 2017-11-13 2020-11-17 X Display Company Technology Limited Rigid micro-modules with ILED and light conductor
US20190186041A1 (en) 2017-12-20 2019-06-20 International Business Machines Corporation Three-dimensionally stretchable single crystalline semiconductor membrane
US10297585B1 (en) 2017-12-21 2019-05-21 X-Celeprint Limited Multi-resolution compound micro-devices
CN109971373B (zh) * 2017-12-28 2021-01-26 清华大学 一种粘结方法
US11437535B2 (en) 2018-01-23 2022-09-06 Moshe Einav Voltage-matched multi-junction solar module made of 2D materials
US10692996B1 (en) 2018-02-05 2020-06-23 United States Of America As Represented By The Secretary Of The Air Force Systems, methods and apparatus for radio frequency devices
JP6431631B1 (ja) 2018-02-28 2018-11-28 株式会社フィルネックス 半導体素子の製造方法
US11189605B2 (en) 2018-02-28 2021-11-30 X Display Company Technology Limited Displays with transparent bezels
TWI796504B (zh) * 2018-02-28 2023-03-21 日商菲爾尼克斯股份有限公司 半導體元件的製造方法與半導體基板
US10690920B2 (en) 2018-02-28 2020-06-23 X Display Company Technology Limited Displays with transparent bezels
US10910355B2 (en) 2018-04-30 2021-02-02 X Display Company Technology Limited Bezel-free displays
US10505079B2 (en) 2018-05-09 2019-12-10 X-Celeprint Limited Flexible devices and methods using laser lift-off
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US10615574B2 (en) 2018-05-17 2020-04-07 Wisconsin Alumni Research Foundation Superlattice heterostructures formed with single crystalline semiconductor nanomembranes and amorphous tunneling barrier layers
US20210242086A1 (en) * 2018-05-30 2021-08-05 The Regents Of The University Of California Method of removing semiconducting layers from a semiconducting substrate
US10832934B2 (en) 2018-06-14 2020-11-10 X Display Company Technology Limited Multi-layer tethers for micro-transfer printing
US10714001B2 (en) 2018-07-11 2020-07-14 X Display Company Technology Limited Micro-light-emitting-diode displays
US10796971B2 (en) 2018-08-13 2020-10-06 X Display Company Technology Limited Pressure-activated electrical interconnection with additive repair
EP3855521A4 (en) 2018-09-18 2022-05-04 Kabushiki Kaisha Toshiba PHOTOELECTRIC CONVERSION ELEMENT AND METHOD OF MANUFACTURE THEREOF
US10672891B2 (en) * 2018-10-04 2020-06-02 International Business Machines Corporation Stacked gate all around MOSFET with symmetric inner spacer formed via sacrificial pure Si anchors
US10796938B2 (en) * 2018-10-17 2020-10-06 X Display Company Technology Limited Micro-transfer printing with selective component removal
US10573544B1 (en) 2018-10-17 2020-02-25 X-Celeprint Limited Micro-transfer printing with selective component removal
US11923472B2 (en) 2018-11-05 2024-03-05 The United States Of America As Represented By The Secretary Of The Army Deformable array of semiconductor devices
US11482979B2 (en) 2018-12-03 2022-10-25 X Display Company Technology Limited Printing components over substrate post edges
US11274035B2 (en) 2019-04-24 2022-03-15 X-Celeprint Limited Overhanging device structures and related methods of manufacture
US11528808B2 (en) 2018-12-03 2022-12-13 X Display Company Technology Limited Printing components to substrate posts
US10790173B2 (en) 2018-12-03 2020-09-29 X Display Company Technology Limited Printed components on substrate posts
US20210002128A1 (en) 2018-12-03 2021-01-07 X-Celeprint Limited Enclosed cavity structures
JP6836022B2 (ja) * 2018-12-10 2021-02-24 株式会社フィルネックス 半導体基板、半導体基板の製造方法及び半導体素子の製造方法
US11282786B2 (en) 2018-12-12 2022-03-22 X Display Company Technology Limited Laser-formed interconnects for redundant devices
CN113261075A (zh) * 2018-12-21 2021-08-13 应用材料公司 用于形成触点的处理系统和方法
US11483937B2 (en) 2018-12-28 2022-10-25 X Display Company Technology Limited Methods of making printed structures
US11251139B2 (en) 2019-01-22 2022-02-15 X-Celeprint Limited Secure integrated-circuit systems
US11322460B2 (en) 2019-01-22 2022-05-03 X-Celeprint Limited Secure integrated-circuit systems
US11088121B2 (en) 2019-02-13 2021-08-10 X Display Company Technology Limited Printed LED arrays with large-scale uniformity
US10748793B1 (en) 2019-02-13 2020-08-18 X Display Company Technology Limited Printing component arrays with different orientations
US11164934B2 (en) 2019-03-12 2021-11-02 X Display Company Technology Limited Tiled displays with black-matrix support screens
US11094870B2 (en) 2019-03-12 2021-08-17 X Display Company Technology Limited Surface-mountable pixel packages and pixel engines
DE102019108701A1 (de) * 2019-04-03 2020-10-08 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung einer Mehrzahl von Bauteilen, Bauteil und Bauteilverbund aus Bauteilen
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10714374B1 (en) 2019-05-09 2020-07-14 X Display Company Technology Limited High-precision printed structures
FR3096172A1 (fr) * 2019-05-13 2020-11-20 X-Fab France SAS Transfer Printing for RF Applications
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11488943B2 (en) 2019-06-14 2022-11-01 X Display Company Technology Limited Modules with integrated circuits and devices
US10944027B2 (en) 2019-06-14 2021-03-09 X Display Company Technology Limited Pixel modules with controllers and light emitters
KR102687815B1 (ko) 2019-06-20 2024-07-24 엘지전자 주식회사 디스플레이 장치 및 반도체 발광소자의 자가조립 방법
CN110211880B (zh) * 2019-07-05 2023-04-28 苏州汉骅半导体有限公司 金刚石基氮化镓hemt结构制造方法
DE102019118270B4 (de) 2019-07-05 2021-10-07 X-Fab Semiconductor Foundries Gmbh Verfahren zur Herstellung von Halbleiterbauelementen zur Ausbeutesteigerung beim Mikrotransferdruck
US11101417B2 (en) 2019-08-06 2021-08-24 X Display Company Technology Limited Structures and methods for electrically connecting printed components
CN110600470B (zh) * 2019-08-22 2021-10-22 深圳第三代半导体研究院 一种GaN基激光器和AlGaN/GaN HEMT集成器件制备方法
WO2021046269A1 (en) * 2019-09-04 2021-03-11 Massachusetts Institute Of Technology Multi-regional epitaxial growth and related systems and articles
FI129855B (en) * 2019-10-08 2022-09-30 Jani Oksanen METHOD AND STRUCTURE FOR MANUFACTURING THIN FILMS
US11626856B2 (en) 2019-10-30 2023-04-11 X-Celeprint Limited Non-linear tethers for suspended devices
US11127889B2 (en) 2019-10-30 2021-09-21 X Display Company Technology Limited Displays with unpatterned layers of light-absorbing material
US11637540B2 (en) 2019-10-30 2023-04-25 X-Celeprint Limited Non-linear tethers for suspended devices
DE102020107288A1 (de) 2019-12-10 2021-06-10 X-Fab Semiconductor Foundries Gmbh Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
US11062936B1 (en) 2019-12-19 2021-07-13 X Display Company Technology Limited Transfer stamps with multiple separate pedestals
US11315909B2 (en) 2019-12-20 2022-04-26 X Display Company Technology Limited Displays with embedded light emitters
US11037912B1 (en) 2020-01-31 2021-06-15 X Display Company Technology Limited LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel
US20210342659A1 (en) * 2020-05-01 2021-11-04 X-Celeprint Limited Hybrid documents with electronic indicia
CN111540709A (zh) * 2020-05-07 2020-08-14 电子科技大学 一种二维半导体器件电路一体化制备的方法
US11538849B2 (en) 2020-05-28 2022-12-27 X Display Company Technology Limited Multi-LED structures with reduced circuitry
WO2022013246A1 (en) * 2020-07-13 2022-01-20 Rockley Photonics Limited Method of preparing a device coupon for micro-transfer printing, device wafer including said device coupon, and optoelectronic device manufactured from said device wafer
JP2022058237A (ja) * 2020-09-30 2022-04-11 信越化学工業株式会社 光デバイスのリフト方法及びその装置、光デバイスが移設されたレセプター基板の製造方法、並びにディスプレイの製造方法
US12006205B2 (en) 2020-10-08 2024-06-11 X-Celeprint Limited Micro-device structures with etch holes
US11952266B2 (en) 2020-10-08 2024-04-09 X-Celeprint Limited Micro-device structures with etch holes
CN112234019B (zh) * 2020-10-20 2023-01-17 广东省科学院半导体研究所 转移膜、转移组件和微器件曲面转移方法
JP2022073007A (ja) 2020-10-30 2022-05-17 信越化学工業株式会社 発光ダイオード供給基板の製造方法、発光ダイオードディスプレイの製造方法、発光ダイオードディスプレイの分割ユニットの製造方法、及び素子供給基板の製造方法
US10964899B1 (en) 2020-11-05 2021-03-30 King Abdulaziz University Hybrid junction solar light sensitive device
KR20220099199A (ko) 2021-01-05 2022-07-13 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
US12074583B2 (en) 2021-05-11 2024-08-27 X Display Company Technology Limited Printing components to adhesive substrate posts
WO2023015382A1 (en) * 2021-08-09 2023-02-16 Vuereal Inc. Selective release of microdevices
US20230138136A1 (en) * 2021-11-04 2023-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. NanoStructure Field-Effect Transistor Device and Methods of Forming
WO2023081521A1 (en) * 2021-11-08 2023-05-11 The Board Of Trustees Of The Leland Stanford Junior University Process for the pulsed laser ejection of multiple epitaxial structures from one thin film growth
DE102023102601A1 (de) 2023-02-02 2024-08-08 X-FAB Global Services GmbH Halbleiterscheibe und (Mikro-)Transferdruckverfahren

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434751A (en) * 1994-04-11 1995-07-18 Martin Marietta Corporation Reworkable high density interconnect structure incorporating a release layer

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296953A (en) * 1984-01-23 1994-03-22 Canon Kabushiki Kaisha Driving method for ferro-electric liquid crystal optical modulation device
US4761335A (en) * 1985-03-07 1988-08-02 National Starch And Chemical Corporation Alpha-particle protection of semiconductor devices
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4663628A (en) * 1985-05-06 1987-05-05 Halliburton Company Method of sampling environmental conditions with a self-contained downhole gauge system
US4663828A (en) * 1985-10-11 1987-05-12 Energy Conversion Devices, Inc. Process and apparatus for continuous production of lightweight arrays of photovoltaic cells
US5107586A (en) * 1988-09-27 1992-04-28 General Electric Company Method for interconnecting a stack of integrated circuits at a very high density
JPH06118441A (ja) 1991-11-05 1994-04-28 Tadanobu Kato 表示セル
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5599616A (en) * 1994-11-30 1997-02-04 Polaroid Corporation Laminar imaging medium utilizing cross-linked borated polymeric binder
JP4525603B2 (ja) * 1996-08-27 2010-08-18 セイコーエプソン株式会社 薄膜トランジスタの転写方法
US6316283B1 (en) * 1998-03-25 2001-11-13 Asulab Sa Batch manufacturing method for photovoltaic cells
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
KR100434537B1 (ko) * 1999-03-31 2004-06-05 삼성전자주식회사 다공질 실리콘 혹은 다공질 산화 실리콘을 이용한 두꺼운 희생층을 가진 다층 구조 웨이퍼 및 그 제조방법
JP3657143B2 (ja) * 1999-04-27 2005-06-08 シャープ株式会社 太陽電池及びその製造方法
IL130326A0 (en) * 1999-06-07 2000-06-01 Yeda Res & Dev A sensor based on molecular controlled semiconductor resistor
US7427526B2 (en) * 1999-12-20 2008-09-23 The Penn State Research Foundation Deposited thin films and their use in separation and sacrificial layer applications
JP2004507880A (ja) 2000-04-17 2004-03-11 ザ ペン ステイト リサーチ ファンデーション 堆積された薄膜、並びに分離及び犠牲層への適用におけるその使用
US6787052B1 (en) * 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
US6787750B1 (en) 2000-06-29 2004-09-07 Siemens Corporate Research, Inc. Method and apparatus for robust optical tracking with beacon markers
US6525352B1 (en) * 2000-11-22 2003-02-25 Network Photonics, Inc. Method to reduce release time of micromachined devices
JP2004521485A (ja) * 2000-11-27 2004-07-15 コピン コーポレーション 格子整合されたベース層を有するバイポーラトランジスタ
JP4461616B2 (ja) * 2000-12-14 2010-05-12 ソニー株式会社 素子の転写方法、素子保持基板の形成方法、及び素子保持基板
US6569701B2 (en) * 2001-10-25 2003-05-27 Rockwell Automation Technologies, Inc. Method for fabricating an isolated microelectromechanical system device
US20020173033A1 (en) 2001-05-17 2002-11-21 Kyle Hammerick Device and method or three-dimensional spatial localization and functional interconnection of different types of cells
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US6917061B2 (en) * 2001-07-20 2005-07-12 Microlink Devices, Inc. AlGaAs or InGaP low turn-on voltage GaAs-based heterojunction bipolar transistor
US6661037B2 (en) * 2001-07-20 2003-12-09 Microlink Devices, Inc. Low emitter resistance contacts to GaAs high speed HBT
AU2002322581A1 (en) * 2001-07-20 2003-03-03 Microlink Devices, Inc. Graded base gaassb for high speed gaas hbt
JP2003077940A (ja) 2001-09-06 2003-03-14 Sony Corp 素子の転写方法及びこれを用いた素子の配列方法、画像表示装置の製造方法
US6936181B2 (en) * 2001-10-11 2005-08-30 Kovio, Inc. Methods for patterning using liquid embossing
US6864414B2 (en) * 2001-10-24 2005-03-08 Emcore Corporation Apparatus and method for integral bypass diode in solar cells
ITMO20010248A1 (it) * 2001-12-12 2003-06-12 Expert System Solutions Srl Dilatometro ottico perfezionato
JP4211256B2 (ja) * 2001-12-28 2009-01-21 セイコーエプソン株式会社 半導体集積回路、半導体集積回路の製造方法、電気光学装置、電子機器
DE60310282T2 (de) * 2002-03-01 2007-05-10 Dai Nippon Printing Co., Ltd. Thermisch übertragbares Bildschutzblatt, Verfahren zur Schutzschicht-Bildung und durch das Verfahren hergestellte Aufnahme
JP3889700B2 (ja) * 2002-03-13 2007-03-07 三井金属鉱業株式会社 Cofフィルムキャリアテープの製造方法
JP2003297974A (ja) 2002-03-29 2003-10-17 Seiko Epson Corp 半導体装置、電気光学装置および半導体装置の製造方法
US6531331B1 (en) * 2002-07-16 2003-03-11 Sandia Corporation Monolithic integration of a MOSFET with a MEMS device
US6746890B2 (en) * 2002-07-17 2004-06-08 Tini Alloy Company Three dimensional thin film devices and methods of fabrication
US6747338B1 (en) 2002-11-27 2004-06-08 Analog Devices, Inc. Composite dielectric with improved etch selectivity for high voltage MEMS structures
US7494896B2 (en) * 2003-06-12 2009-02-24 International Business Machines Corporation Method of forming magnetic random access memory (MRAM) devices on thermally-sensitive substrates using laser transfer
US7033961B1 (en) * 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
US20050082526A1 (en) * 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
DE10349963A1 (de) * 2003-10-24 2005-06-02 Leonhard Kurz Gmbh & Co. Kg Verfahren zur Herstellung einer Folie
CN1890603B (zh) 2003-12-01 2011-07-13 伊利诺伊大学评议会 用于制造三维纳米级结构的方法和装置
US7018549B2 (en) 2003-12-29 2006-03-28 Intel Corporation Method of fabricating multiple nanowires of uniform length from a single catalytic nanoparticle
US8159048B2 (en) * 2004-01-30 2012-04-17 Triquint Semiconductor, Inc. Bipolar junction transistor geometry
US7820529B2 (en) * 2004-03-22 2010-10-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing integrated circuit
JP5030388B2 (ja) 2004-03-22 2012-09-19 株式会社半導体エネルギー研究所 薄膜集積回路の作製方法
US7202141B2 (en) * 2004-03-29 2007-04-10 J.P. Sercel Associates, Inc. Method of separating layers of material
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
JP2008507114A (ja) 2004-04-27 2008-03-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ ソフトリソグラフィ用複合パターニングデバイス
US7521292B2 (en) * 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
CN102097458B (zh) * 2004-06-04 2013-10-30 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法和设备
US7799699B2 (en) * 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US8217381B2 (en) * 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
US7943491B2 (en) * 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
JP4771510B2 (ja) * 2004-06-23 2011-09-14 キヤノン株式会社 半導体層の製造方法及び基板の製造方法
JP4912627B2 (ja) * 2004-06-24 2012-04-11 株式会社半導体エネルギー研究所 薄膜集積回路の作製方法
US7425523B2 (en) * 2004-07-05 2008-09-16 Dai Nippon Printing Co., Ltd. Thermal transfer recording material and thermal transfer recording method
US7687886B2 (en) * 2004-08-19 2010-03-30 Microlink Devices, Inc. High on-state breakdown heterojunction bipolar transistor
DE102005036820A1 (de) * 2004-08-31 2006-03-09 Osram Opto Semiconductors Gmbh Strahlungsemittierender Halbleiterkörper für einen vertikal emittierenden Laser und Verfahren zu dessen Herstellung
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7621044B2 (en) * 2004-10-22 2009-11-24 Formfactor, Inc. Method of manufacturing a resilient contact
KR100667508B1 (ko) * 2004-11-08 2007-01-10 엘지전자 주식회사 발광 소자 및 그의 제조방법
US7306963B2 (en) * 2004-11-30 2007-12-11 Spire Corporation Precision synthesis of quantum dot nanostructures for fluorescent and optoelectronic devices
US20060180198A1 (en) * 2005-02-16 2006-08-17 Sharp Kabushiki Kaisha Solar cell, solar cell string and method of manufacturing solar cell string
JP5052033B2 (ja) * 2005-04-28 2012-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
EP1915774B1 (en) 2005-06-02 2015-05-20 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
CN101632156B (zh) 2005-06-02 2012-06-20 伊利诺伊大学评议会 可印刷半导体结构以及相关制造和组装方法
US7462891B2 (en) * 2005-09-27 2008-12-09 Coldwatt, Inc. Semiconductor device having an interconnect with sloped walls and method of forming the same
US7687707B2 (en) * 2005-11-16 2010-03-30 Emcore Solar Power, Inc. Via structures in solar cells with bypass diode
US8242025B2 (en) * 2006-01-16 2012-08-14 Panasonic Corporation Method for producing semiconductor chip, and field effect transistor and method for manufacturing same
CN101506413A (zh) 2006-03-03 2009-08-12 伊利诺伊大学评议会 制造空间排列的纳米管和纳米管阵列的方法
WO2008030666A2 (en) 2006-07-25 2008-03-13 The Board Of Trustees Of The University Of Illinois Multispectral plasmonic crystal sensors
DE102006037433B4 (de) * 2006-08-09 2010-08-19 Ovd Kinegram Ag Verfahren zur Herstellung eines Mehrschichtkörpers sowie Mehrschichtkörper
CN101681695B (zh) 2006-09-06 2013-04-10 伊利诺伊大学评议会 在用于可拉伸电子元件的半导体互连和纳米膜中的受控弯曲结构
WO2008036837A2 (en) 2006-09-20 2008-03-27 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
WO2008079677A2 (en) 2006-12-20 2008-07-03 Arkema Inc. Polymer encapsulation and/or binding
US8742251B2 (en) * 2006-12-20 2014-06-03 Jds Uniphase Corporation Multi-segment photovoltaic power converter with a center portion
EP2104954B1 (en) 2007-01-17 2022-03-16 The Board of Trustees of the University of Illinois Optical systems fabricated by printing-based assembly
WO2008124154A2 (en) * 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
WO2009011709A1 (en) 2007-07-19 2009-01-22 The Board Of Trustees Of The University Of Illinois High resolution electrohydrodynamic jet printing for manufacturing systems
EP2963675A1 (en) 2008-03-05 2016-01-06 The Board of Trustees of The University of Illinois Stretchable and foldable electronic devices
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US8946683B2 (en) * 2008-06-16 2015-02-03 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US8679888B2 (en) 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
KR20110099029A (ko) * 2008-12-08 2011-09-05 알타 디바이씨즈, 인크. 에피택셜 리프트 오프를 위한 다중 스택 증착
KR101046064B1 (ko) 2008-12-11 2011-07-01 삼성전기주식회사 박막소자 제조방법
WO2010132552A1 (en) * 2009-05-12 2010-11-18 The Board Of Trustees Of The University Of Illinois Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US10918298B2 (en) 2009-12-16 2021-02-16 The Board Of Trustees Of The University Of Illinois High-speed, high-resolution electrophysiology in-vivo using conformal electronics
US9057994B2 (en) * 2010-01-08 2015-06-16 The Board Of Trustees Of The University Of Illinois High resolution printing of charge
CN102892356B (zh) 2010-03-17 2016-01-13 伊利诺伊大学评议会 基于生物可吸收基质的可植入生物医学装置
US8562095B2 (en) 2010-11-01 2013-10-22 The Board Of Trustees Of The University Of Illinois High resolution sensing and control of electrohydrodynamic jet printing
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
WO2012167096A2 (en) 2011-06-03 2012-12-06 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US9555644B2 (en) 2011-07-14 2017-01-31 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
EP2786644B1 (en) 2011-12-01 2019-04-10 The Board of Trustees of the University of Illionis Transient devices designed to undergo programmable transformations
JP2015521303A (ja) 2012-03-30 2015-07-27 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシ 表面への形状適合可能な付属物装着可能電子デバイス
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434751A (en) * 1994-04-11 1995-07-18 Martin Marietta Corporation Reworkable high density interconnect structure incorporating a release layer

Also Published As

Publication number Publication date
KR101430587B1 (ko) 2014-08-14
JP2016040828A (ja) 2016-03-24
JP6238141B2 (ja) 2017-11-29
US8895406B2 (en) 2014-11-25
KR101588019B1 (ko) 2016-02-12
JP5319533B2 (ja) 2013-10-16
CN101517700A (zh) 2009-08-26
EP2064734B1 (en) 2019-01-16
MY149190A (en) 2013-07-31
KR20140016432A (ko) 2014-02-07
US9349900B2 (en) 2016-05-24
JP5805712B2 (ja) 2015-11-04
KR20090078803A (ko) 2009-07-20
WO2008036837A3 (en) 2009-05-14
JP2013247372A (ja) 2013-12-09
US20110171813A1 (en) 2011-07-14
EP2064734A4 (en) 2014-03-26
TW200832513A (en) 2008-08-01
US20080108171A1 (en) 2008-05-08
CN103956336A (zh) 2014-07-30
WO2008036837A2 (en) 2008-03-27
EP2064734A2 (en) 2009-06-03
KR101615255B1 (ko) 2016-05-11
CN103956336B (zh) 2019-08-16
TWI438827B (zh) 2014-05-21
KR20140141662A (ko) 2014-12-10
JP2010504649A (ja) 2010-02-12
US7932123B2 (en) 2011-04-26
US20140361409A1 (en) 2014-12-11
US20110316120A1 (en) 2011-12-29

Similar Documents

Publication Publication Date Title
CN101517700B (zh) 用于制造可转移半导体结构、器件和器件构件的松脱策略
JP6343608B2 (ja) 太陽電池
KR102087337B1 (ko) 인장 가능한 가요성 장치의 제조 방법
CN104145340B (zh) 具有石墨烯顶部电极和底部电极的纳米线装置以及制造该装置的方法
TWI419202B (zh) 大面積薄型單晶矽之製作技術
CN101632156B (zh) 可印刷半导体结构以及相关制造和组装方法
CN112151629B (zh) 一种微管式三维异质结器件结构及其制备方法和应用
KR20170077097A (ko) 고성능 전자제품을 위한 잡아늘이거나 압축가능한 단결정 실리콘 형성체
CN101971360A (zh) 用于发电的基于纳米棒的复合结构
Cerofolini et al. Terascale integration via a redesign of the crossbar based on a vertical arrangement of poly-Si nanowires
CN107004571A (zh) 包括三维半导体元件的光电子装置及其制造方法
Kim Graphene Nanopattern for Single-Crystal Film Growth, Defect Reduction and Layer Transfer
Kim et al. Selective growth of the silicon-oxide nanodot array using nanosphere lithography and liquid-phase deposition
Edgar et al. Recent developments and current challenges in interfacing and integrating 1D semiconductor nanowires in devices and circuits
Rogers et al. Arrays of ultrathin silicon solar microcells
KR20140022328A (ko) 나노와이어 소자 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant