JP5176180B2 - 縦型のu字形トランジスタを有するdramセル - Google Patents

縦型のu字形トランジスタを有するdramセル Download PDF

Info

Publication number
JP5176180B2
JP5176180B2 JP2007530235A JP2007530235A JP5176180B2 JP 5176180 B2 JP5176180 B2 JP 5176180B2 JP 2007530235 A JP2007530235 A JP 2007530235A JP 2007530235 A JP2007530235 A JP 2007530235A JP 5176180 B2 JP5176180 B2 JP 5176180B2
Authority
JP
Japan
Prior art keywords
shaped
forming
pillar
trenches
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007530235A
Other languages
English (en)
Other versions
JP2008511997A (ja
Inventor
ワーナー ジュエングリング
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008511997A publication Critical patent/JP2008511997A/ja
Application granted granted Critical
Publication of JP5176180B2 publication Critical patent/JP5176180B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
    • H01L21/108Provision of discrete insulating layers, i.e. non-genetic barrier layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biotechnology (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、半導体構造、メモリ素子の構成及び半導体構造の形成方法に関する。
(関連出願について)
この出願は、2004年5月26日に出願された、「半導体構造、メモリ素子の構成及び半導体構造の形成方法」というタイトルの米国特許出願番号10/855、429に関連する。
集積回路の設計者は、個々の素子のサイズを小さくすることによって、また、隣り合う素子間の間隔を小さくすることによって、集積回路内の集積度又は素子の密度を高くすることを望んでいる。一般的な集積回路素子の1例には、メモリ回路、プロセッサなどの多くのデバイスに見られるトランジスタがある。通常の集積回路トランジスタは、基板の表面に形成されたソース、ドレイン及びゲートを備えている。
比較的一般的な半導体デバイスはメモリ素子であり、代表的なメモリ素子であるダイナミックランダムアクセスメモリ(DRAM)セルを備えている。DRAMセルは、トランジスタ及びメモリストレージ構造で構成され、キャパシタである通常のメモリ記憶構造を備えている。半導体デバイスに関する最近のアプリケーションでは、膨大な数のDRAMユニットセルが利用される。
したがって、半導体デバイスを製造するための新しい方法を開発することが望ましい。また、DRAM構造などの半導体アプリケーションで利用することができる新しい半導体デバイス構造を開発することも望ましい。
1つの実施の形態では、本発明は半導体構造を形成する方法を含んでいる。横型(horizontal)のセグメント及び縦型(vertical)のセグメントを有する格子が、シリコンウエハ又はバルクシリコンの一部などの半導体基板にエッチングにより形成される。さらに別の実施の形態では、エピタキシャル層が、格子を形成する前に、半導体基板上に形成される。半導体基板内に、エッチングにより格子を形成すると、格子のセグメントによって相互に区切られた、シリコンの繰り返し領域(repeating region)が形成される。その繰り返し領域によって、第1の軸に沿った第1のピッチ及び第2の軸に沿った第2のピッチを有するシリコンピラーのアレイが形成される。第2の軸は、第1の軸に対して実質的に直交している。この第2のピッチは、第1のピッチの約2倍の大きさである。また、シリコンピラー対によって、U字形トランジスタが形成される。
横型の格子セグメントである第1の部分は、第1の深さにエッチされ、横型の格子セグメントである第2の部分は、第2の深さにエッチされる。第1の深さは第2の深さより浅い。第1の深さを有する横型の格子セグメントは、第2の深さを有する横型の格子セグメントと交互に形成されている。1つの実施の形態では、横型の格子セグメントの第1の部分は、第1の物質で埋められ、横型の格子セグメントの第2の部分は、第2の物質で埋められている。U字形トランジスタ内のそれぞれのピラーは、U字形トランジスタ内のもう片方のピラーと第1の物質によって分離され、1つのU字形トランジスタは、第2の物質によって別のU字形トランジスタと分離されている。第1の物質及び第2の物質は、酸化物含有物質であることが好ましい。別の実施の形態では、第1の物質は窒化物含有物質であり、第2の物質は酸化物含有物質である。
縦型の格子セグメントは、第3の深さにエッチされる。第3の深さは、第1の深さより深く、第2の深さより浅いことが好ましい。1つの実施の形態では、縦型の格子セグメントは、トランジスタ及びDRAMトランジスタのゲートを形成する導電体で埋められる。
1つの実施の形態では、本発明は半導体構造を含んでいる。その構造は、半導体基板及びその半導体基板に形成されたゲートライン格子を含んでいる。その格子は、格子のセグメントによって相互に分離された、非ゲートライン領域のアレイを画定する。そのアレイは、第1の軸に沿った第1のピッチ、及び第1の軸と実質的に直交する第2の軸に沿った第2のピッチを有している。第2のピッチは第1のピッチの約2倍の大きさである。非ゲートライン領域は、縦方向に延びるソース/ドレイン領域を構成する。
別の実施の形態では、本発明はメモリ素子構造を含んでいる。その構造は、半導体基板、及びエッチングによって半導体基板に形成されたゲートラインを含んでいる。さらに、その構造は、縦方向に延びる第1のソース/ドレイン領域及び縦方向に延びる第2のソース/ドレイン領域を含み、2つの領域は基板から形成され、ゲートラインによって少なくとも部分的に囲まれている。それらのソース/ドレイン領域は、ゲートラインを介して相互にゲート的(gatedly)に接続されている。メモリストレージデバイスが、第1ソース/ドレイン領域に電気的に接続されている。また、ディジットラインが、第2ソース/ドレイン領域に電気的に接続されている。
本発明に係る1つの態様は、集積回路用トランジスタの形成方法であり、その方法は、半導体基板のエッチングを行うことにより、U字形シリコンピラー対を形成し、U字形シリコンピラー対を囲むエッチされた領域を形成することを含み、シリコンピラーは、第1ピラー及び第2ピラーで構成される。さらに、その方法は、第1ピラー内に第1ソース/ドレイン領域を形成すること、及び第2ピラー内に第2ソース/ドレイン領域を形成することを含んでいる。その方法は、さらに、エッチされた領域の少なくとも一部にゲートラインを形成することを含み、ゲートラインは、第1及び第2ピラーを少なくとも部分的に囲み、第1ソース/ドレイン領域、第2ソース/ドレイン領域及びゲートラインの少なくとも一部によって、U字形トランジスタが形成される。
別の態様では、半導体デバイスを形成する方法が、半導体基板内に、第1の深さまで第1セットのトレンチをエッチングすることを含んでいる。その方法は、さらに、半導体基板内に、第2の深さまで第2セットのトレンチをエッチングすることを含み、第1セットのトレンチは、第2セットのトレンチに実質的に平行であり、第1セットのトレンチと第2セットのトレンチとは、半導体基板内で相互に間隔を隔てて位置している。その方法は、さらに、半導体基板内に、第3の深さまで第3セットのトレンチをエッチングすることを含み、第3セットのトレンチは、第1セットのトレンチ及び第2セットのトレンチに対して、実質的に直交している。第1、第2及び第3セットのトレンチは、縦方向に延びるピラーのアレイを画定し、縦方向に延びるピラーのアレイは、縦型のソース/ドレイン領域を構成する。ゲートラインは、少なくとも第3セットのトレンチの一部に形成され、ゲートライン及び縦型のソース/ドレイン領域により複数のトランジスタが形成され、ソース/ドレイン領域の対は、トランジスタのチャンネルを介して相互に接続されている。
別の態様では、メモリアレイの形成方法が、半導体基板上に第1ラインと第1ギャップとが交互する第1パターンを形成するためのデバイスマスクを、半導体基板に適用することを含んでいる。さらに、その方法は、第1セットのトレンチを形成するために半導体基板を処理することを含み、第1セットのトレンチが、半導体基板内における、第1ギャップによって画定された領域の少なくとも一部に形成される。その方法は、さらに、第1セットのトレンチの形成後、半導体デバイスに周辺部マスクを適用することを含み、周辺部マスクは、アレイ領域に隣接する周辺部を保護する。その方法は、さらに、第1セットのトレンチに実質的に平行な第2セットのトレンチを形成するために半導体基板を処理することを含み、第2セットのトレンチが、半導体基板内における、アレイ領域の少なくとも一部に形成される。その方法は、さらに、第2セットのトレンチの形成後、半導体基板上に、第2ラインと第2ギャップとが交互する第2パターンを形成するために、半導体デバイスにワードラインマスクを適用することを含み、第2ライン及び第2ギャップは、第1ライン及び第1ギャップと交差する。また、第3セットのトレンチを形成するために、半導体基板を処理することを含み、第3セットのトレンチは、半導体基板内における、第2ギャップによって画定された領域の少なくとも一部に形成され、保護される周辺部には形成されない。
別の態様では、半導体構造に複数のU字形トランジスタを形成する方法が、複数の第1トレンチよってそれぞれのU字形トランジスタの第1ピラーと第2ピラーとを分離すること、及び半導体基板内に、第1トレンチより深く延びる複数の第2トレンチによって、隣接するU字形トランジスタからそれぞれのU字形トランジスタを分離することを含んでいる。
別の態様では、集積回路が、半導体基板及び半導体基板内に形成された第1及び第2U字形トンジスタを含んでいる。第1及び第2U字形トランジスタは、第1及び第2U字形トランジスタより、半導体基板内に深く延びる第1トレンチによって分離されている。半導体構造は、さらに、第3及び第4U字形トランジスタから第1及び第2U字形トランジスタを分離する第2トレンチを備え、第2トレンチは、半導体基板内に延び、第1トレンチより深さが浅い。
別の態様では、メモリセルが、半導体基板及び半導体基板内に形成されたU字形トランジスタを備えている。U字形トランジスタは、第1及び第2ピラーを備えており、第1及び第2ピラーは、半導体基板内に延びるトレンチによって分離されている。さらに、半導体構造は、第1ピラーに接続されたメモリストレージデバイス及び第2ピラーに接続されたディジットラインを備えている。
別の態様では、半導体構造が複数のカラム状突起を備えている。それぞれの突起は、ソース、ドレイン及びチャネルを含んでいる。その半導体構造は、さらに、カラムを相互に分離する複数のワードラインギャップを備えている。その構造は、さらに、ワードラインギャップの一部内に形成された複数のゲートラインを備えている。それぞれのゲートラインは、少なくともカラムの1つを部分的に囲んでいる。
別の態様では、電子デバイスが、端部壁(end-wall)によって接続された反対側(opposite side)に、第1U字形面及び第2U字形面を有する、少なくとも1つのU字形半導体構造を備えている。第1U字形面と第2U字形面とは、実質的に平行である。U字形半導体構造は、第1ソース/ドレイン領域及び第2ソース/ドレイン領域を備えている。電子デバイスは、さらに、第1U字形面に沿って形成された第1チャネル、及び第2U字形面に沿って形成された第2チャネルを備えている。さらに、電子デバイスは、両方のU字形面に面するゲートライン、及びそれぞれの端部壁に直接隣接するフィールド分離素子を備えている。
別の態様では、メモリセルを形成する方法が、第1U字形面及び第2U字形面を有する少なくとも1つのU字形トランジスタを形成するために、半導体基板のエッチングを行うことを含んでいる。第1U字形面と第2U字形面とは、実質的に平行である。U字形トランジスタは、第1ソース/ドレイン領域、第2ソースドレイン領域及びゲートラインを備え、第1ソース/ドレイン領域及び第2ソース/ドレイン領域は、半導体基板内に形成される。その方法は、さらに、第1U字形面に沿って半導体基板内に第1チャネルを形成すること、及び第2U字形面に沿って半導体基板内に第2チャネルを形成することを含んでいる。さらに、その方法は、第1及び第2チャネルのそれぞれに面するゲートラインを形成することを含んでいる。
別の態様では、半導体構造を形成する方法が、半導体基板内に1セットのワードライントレンチをエッチングにより形成すること、及び半導体構造内に1セットのディープトレンチをエッチングにより形成することを含んでいる。第2セットのトレンチは、第2セットのワードライントレンチとクロスしてグリッドを形成し、そのセットのワードライントレンチ及びそのセットのディープトレンチによって、半導体基板内に、複数の突起が画定される。その方法は、さらに、それぞれの突起内に高濃度にドープされた領域及び低濃度にドープされた領域を画定すること、そのセットのワードライントレンチにゲート物質を形成すること、及びゲート物質のスペーサエッチングを行うことにより、突起の側壁にゲート電極を画定することを含んでいる。
別の態様では、半導体構造が、半導体基板、及び半導体基板のエッチングにより形成された1セットのワードライントレンチ及び1セットのディープトレンチで囲まれたU字形突起を備えている。そのU字形突起は、第1ピラー及び第2ピラーを備えている。第1及び第2ピラーは、半導体基板内に延びる1セットのシャロートレンチのうちの1つのシャロートレンチによって分離され、第1及び第2ピラーは、サラウンディングトレンチ上に延びるリッジによって接続されている。さらに、その構造は、第1ピラーのトップ部に形成された第1ソース/ドレイン領域、第2ピラーのトップ部に形成された第2ソース/ドレイン領域及びワードライントレンチのセットに形成されたゲート構造を備えている。リッジと第1及び第2ピラーの下部とによって、U字形突起が対向する側(opposite side)にU字形チャネルが画定される。このU字形チャネルは、ワードライントレンチのセット内に形成されたゲート構造に面している。
基板上に構成された格子及びアレイ半導体構造は、「半導体構造、メモリ素子構成及び半導体構造の形成方法」("Semiconductor Structures, Memory Device Constructions, and Methods for Forming Semiconductor Structures")というタイトルで、Werner Juenglingによって、Attorney Docket No.MI22-2456で、2004年5月26日に出願された米国特許出願番号10/855,429に開示されている。
本発明を要約するために、本発明のある態様、利点及び新規な特徴をここに説明した。本発明のうちのいずれかの特定な実施の形態によって、そのような利点のすべてが達成される必要はないことが理解されるべきである。したがって、本発明は、ここで教示又は示唆される以外の利点が達成される必要なく、ここで教示されるような1つの利点又は一群の利点を達成又は最適化する態様で、実施又は実行されればよい。
本発明の様々な特長を実現する一般的なアーキテクチャを、図面を参照して以下に説明する。なお、図面及びそれに関連する説明は、本発明の実施の形態を説明するために提供されるものであり、本発明の技術的範囲を限定するものではない。図面全体を通じて、参照符号は、参照された要素間の対応を示すために再利用される。
デバイスは、メモリアレイ、ワードライン、トランジスタ又はその他の構造など、半導体構造での使用に関して開示される。
図1は、トランジスタを形成することができる、製造過程の半導体デバイス100を示す斜視図である。1つの実施の形態では、デバイス100はメモリアレイを構成する。デバイス100は、半導体基板110を備え、様々な適切な物質のうちのいずれかで構成することができる。半導体基板110には、半導体構造及び/又はその上に形成されるその他の層、又はその技術分野で通常用いられるいずれかの元素がドープされたシリコンプラットフォームが含まれる。図示された半導体基板110は、本質的にはドープされた単結晶シリコンウエハで構成されるが、当業者であれば、他のアレンジメントの半導体基板110は、半導体デバイスとしてのその他のアクティブ部又は動作可能部を含む別の形態の半導体層で構成可能なことを理解できるであろう。
オプションの実施の形態では、エピタキシャル層104が基板110上に形成される。エピタキシャル層104は、ウエハの結晶構造を拡大するために、エピタキシャル成長法によって基板110上に形成された半導体層(例えば、シリコン)である。1つの実施の形態では、エピタキシャル層104の厚さは、約2μm〜約6μmの範囲が好ましく、より好ましい範囲は約3μm〜約5μmである。エピタキシャル層104が、以下に説明されるエッチングステップの前に基板110上に形成される場合には、エピタキシャル層104は、基板110の一部と考えるものとする。以下に示す図26の説明から理解されるように、エピタキシャル層104は、形成されるトランジスタのアクティブ領域として機能するバックグラウンド基板とは反対の導電型であり、高濃度にドープされる。
以下に説明する製造プロセスの様々なステージにおいて、A−A線に沿ってデバイス100をスライスすることによって形成される面で得られる図は、半導体デバイス100の第1断面を示し、B−B線に沿ってデバイス100をスライスすることによって形成される面で得られる図は、半導体デバイス100の第2断面を示す。
図2は、デバイス100の第1断面を示す図である。図2に示したように、半導体デバイス100は、さらに、基板110上に形成された物質210の層及び必要に応じて設けられるエピタキシャル層104を備えている。
物質210は、基板110(シリコン)及びシリコン窒化物に対して選択的にエッチすることができるものであり、基板110及びシリコン窒化物は、物質210に対して、それぞれ選択的にエッチすることができるものであることが好ましい。
1つの実施の形態では、物質210は、例えばシリコン酸化物などの酸化物であり、厚さは、約1,000Å〜約5,000Åの範囲であることが好ましく、より好ましい範囲は約2,000Å〜約3,000Åである。また、物質210は、例えば、化学気相成長(CVD)法、物理気相成長(PVD)法などの適切な成膜法を用いることによって形成することができる。
半導体デバイス100は、さらに、酸化物層210上に形成された物質212の層を備え、その物質は、本発明の実施の形態の場合には、ハードマスクとして用いるのに適切なものである。好ましい実施の形態では、ハードマスク用の物質212には、アモルファスカーボンが含まれる。別の実施の形態では、ハードマスク用の物質212には、テトラエチルオルソシリケート(TEOS)、多結晶質シリコン、Si、SiO、SiC又はその他のハードマスクに適した物質が含まれる。物質212は、例えば、化学気相成長(CVD)法、物理気相成長(PVD)法などの適切な成膜法を用いて形成することができる。別の実施の形態では、物質212は、フォトリソグラフィ法で用いられるフォトレジストである。
図3は、ハードマスク層212をパターニングするために、デバイス100に適用されるフォトマスク300の一部を示す図である。フォトマスク300の斜線部(shaded portion)は、フォトリソグラフィ及びエッチング処理が適用された後、ハードマスク層212として残る領域を表している。また、非斜線部(unshaded portion)は、ハードマスク層212のうち除去される領域を表している。マスク300は、ギャップ304によって相互に分離され、間隔を隔てたライン302のパターンを形成する。ライン302及びギャップ304は、横方向(horizontal direction)に沿って延びている。
1つの実施の形態では、ライン302の幅は約1,100Å〜約1,300Å、ギャップ304の幅は約700Å〜約900Åである。
マスク300は、さらに、ギャップ304より幅が広く、横方向に延びるコンタクトギャップ306を備えていることが好ましい。1つの実施の形態では、コンタクトギャップ306は、デバイス100上に、例えば、ワードラインコンタクトなどのコンタクトを配置するための領域を備えており、この点は、後に示す図30の説明により、より理解されるであろう。
図4は、第1断面と同じ方向から見た断面の図であり、フォトマスク300(図3)を適用し、ハードマスク212をパターニングした後のデバイス100を示している。図4に示したように、基板110の領域上に残っているハードマスク212の部分は、マスク300(図3)によりライン302が形成された部分である。基板110上の領域からハードマスク212が除去された部分は、マスク300によりギャップ304が形成された部分である。
ハードマスク212は、周知のフォトリソグラフィ法及びエッチング処理法を用いることによってパターニングすることができる。例えば、いくつかの実施の形態では、デバイス100上にブランケット層としてフォトレジストを形成し、レティクルを介して放射(radiation)露光する。この露光に続いて、フォトレジスト膜を現像することにより、ハードマスク212面にフォトレジストマスク300(図3)を形成する。さらに、ギャップ304に位置するデバイス110上の酸化物210を露出させるために、マスク300を用いてハードマスク212のエッチングを行う。説明した実施の形態では、ハードマスク212又はその上のフォトマスク300のフィーチャ(feature)は、アイソトープエッチングによって縮小され、フィーチャ間のギャップが広くなる。
図5は、第1断面と同じ方向から見た断面の図であり、酸化物210のエッチングを行い、ハードマスク212を除去した後の図4に示したデバイス100を示している。
いくつかの実施の形態では、酸化物210は、例えば、イオンミリング、反応性イオンエッチング(RIE)又は化学エッチングなどのプロセスを用いることによってエッチされる。化学エッチング液を含むエッチングプロセス(RIEを含む)が選択されると、例えば、CFなどの様々な周知のエッチング液を使用することができる。
図5に示したように、エッチングプロセスにより、マスク300(図3)及びハードマスク212(図4)によってギャップ304が形成された領域である基板110上の領域に位置する酸化物210がエッチされ、その部分の基板110が露出する。酸化物210は基板110の領域上に残り、その領域は、マスク300(図3)及びハードマスク212(図4)によってライン302が形成された部分である。
図6は、第1断面と同じ方向から見た断面の図であり、酸化物210上に物質602のスペーサ層を形成した後の図5に示したデバイス100を示している。スペーサ物質602によって、ギャップ304の約1/20〜1/3が埋められることが好ましい。また、スペーサ物質602は、基板110(シリコン)及び酸化物210に対して選択的にエッチされ、基板110(シリコン)及び酸化物210は、スペーサ物質602に対して、それぞれ選択的にエッチされることが好ましい。1つの実施の形態では、スペーサ物質602の層は、例えば、シリコン窒化物などの窒化物を含む物質で構成され、その厚さは約150Å〜約250Åの範囲が好ましく、より好ましい範囲は約180Å〜約220Åである。また、物質602は、例えば、化学気相成長(CVD)法、物理気相成長(PVD)法などの適切な成膜法を用いることによって形成することができる。
図7は、第1断面と同じ方向から見た断面の図であり、窒化物スペーサ702を形成した後の図6に示したデバイス100を示している。1つの実施の形態では、異方性エッチングにより、水平面が選択的に除去され、周知のスペーサエッチングプロセスによって、窒化物層602がスペーサ702にパターニングされる。スペーサ702は、ギャップ304内に形成され、それによってギャップ304の幅が狭くなる。スペーサ702は、ギャップ304の側部の内周に沿って横方向(horizontal direction)に長く延びており、その幅は、約150Å〜約250Åの範囲が好ましく、より好ましい範囲は約180Å〜約220Åである。
図8は、第1断面と同じ方向から見た断面の図であり、シリコン基板110に、複数の第1トレンチ、すなわちシャロートレンチ800をエッチングにより形成した後の図7に示したデバイス100を示している。第1トレンチ800は、例えば、イオンミリング、反応性イオンエッチング(RIE)又は化学エッチングなどのプロセスを用いることによって、ギャップ304に位置するシリコン基板110のエッチングにより形成される。化学エッチング液を含むエッチングプロセス(RIEを含む)が選択された場合には、例えば、Clなどの様々な周知のエッチング剤を用いることができる。
第1トレンチ、すなわちシャロートレンチ800の深さは、約2700Å〜約3300Åの範囲が好ましく、より好ましい範囲は約2850Å〜約3150Åである。第1トレンチ800の幅は、約170Å〜約430Åの範囲が好ましく、より好ましい範囲は約200Å〜約400Åである。また、トレンチ800は、デバイス100の横方向に長く延びている。図3参照。
図9は、第1断面と同じ方向から見た断面の図であり、第1トレンチ800を埋めるために物質900の層を形成した後の図8に示したデバイス100を示している。物質900は、例えば、化学気相成長(CVD)法、物理気相成長(PVD)法などの適切な成膜法を用いることによって形成することができる。物質900は、基板110(シリコン)及び窒化物702に対して選択的にエッチされるものであることが好ましい。1つの実施の形態では、物質900は、例えば、シリコン酸化物などの酸化物である。
第2の実施の形態では、物質900は、基板110(シリコン)及び酸化物210に対して選択的にエッチされ、基板110(シリコン)及び酸化物210は、物質900に対して、それぞれ選択的にエッチされることが好ましい。また、第2の実施の形態では、物質900は、例えば、シリコン窒化物などの窒化物である。第2の実施の形態に関する理解については、図32〜35及びその説明を参照のこと。
図10は、図9に示したデバイス100に適用されるフォトマスク1000を示す図である。前述のように、通常のマスキングプロセスが用いられる。1つの実施の形態では、デバイス100にハードマスク物質の層を形成した後、従来のフォトリソグラフィ法及びエッチング法がハードマスクのエッチングに適用される。フォトマスク1000の斜線部は、従来のフォトリソグラフィ法及びエッチング法が適用された後、ハードマスク層が残る領域を表している。残っているハードマスク層は、その後の処理の際、デバイス100の周辺部を保護する。
フォトマスク1000の非斜線部は、従来のフォトリソグラフィ及びエッチングによってハードマスク層が除去される領域を表している。マスク1000の非斜線部によって示された領域内のデバイス100の表面からハードマスク層が除去され、マスク1000の非斜線部によって画定された領域内に対応するデバイス100の部分がさらに処理される。
マスク300(図3)の幅は、マスク1000の開口部の幅より狭く、マスク300(図3)の長さは、マスク1000の開口部の長さより短いことが好ましい。
図11は、第1断面と同じ方向から見た断面の図であり、酸化物210を除去した後の図9に示したデバイス100を示している。酸化物210の除去により、第1トレンチ800間にギャップ1100が形成される。酸化物210は、例えば、反応性イオンエッチング(RIE)法などのプロセスを用いることによって、基板110の表面までエッチされる。RIEは、物理的な要素と化学的な要素の両方の性質を有する方向性のある異方性エッチングである。RIEに利用される物理的なエッチングプロセスの例には、スパッタエッチングがある。
図11に示したように、酸化物の除去によって形成されたギャップ1100内の窒化物スペーサ702の側面に、第2スペーサ1102が形成されることが好ましい。1つの実施の形態では、スペーサ1102は、例えば、シリコン窒化物などの窒化物含有物質で構成され、その厚さは、約360Å〜約440Åの範囲が好ましく、より好ましい範囲は約380Å〜約420Åである。
1つの実施の形態では、異方性エッチングなどのプロセスにより、デバイス100の表面に形成された窒化物含有物質の層からスペーサ1102を形成する。このプロセスは、前述のように、スペーサ702の形成に用いられたプロセスと同様である。スペーサ1102は、ギャップ1100内のスペーサ702の側面に形成され、それによってギャップ1100の幅が狭くなる。スペーサ1102は、ギャップ1100の約1/20〜2/3を埋めることが好ましく、ギャップ1100の幅を、好ましくは約360Å〜約440Åの範囲、より好ましくは約380Å〜約420Åの範囲まで狭くする。スペーサ1102は、ギャップ1100の側部の内周に沿って、横方向(horizontal direction)に長く延びている。
図12は、第1断面と同じ方向から見た断面の図であり、複数の第2トレンチ、すなわち「ディープ」トレンチ1200をエッチングにより形成した後の図11に示したデバイス100を示している。第2トレンチ1200は、シリコン基板110を選択的にエッチし、酸化物及び窒化物をエッチしない方法、好ましくは、例えばイオンミリング法、反応性イオンエッチング(RIE)法などの方向性プロセスを利用して、ギャップ1100に位置するシリコン基板110のエッチングを行うことにより形成される。
第2トレンチ、すなわちディープトレンチ1200の深さは、約4,500Å〜約5,500Åの範囲が好ましく、より好ましい範囲は約4,750Å〜約5,250Åである。第2トレンチ1200の幅は、約170Å〜約430Åの範囲が好ましく、より好ましい範囲は約200Å〜約400Åである。また、第2トレンチ1200は、デバイス100の横方向に長く延びている。
図示されているように、第2トレンチ1200は、第1トレンチ800より深いことが好ましい。
図13は、第1断面と同じ方向から見た断面の図であり、物質1300で第2トレンチ1200を埋めた後の図12に示したデバイス100を示している。物質1300は、基板110(シリコン)及びシリコン窒化物に対して選択的にエッチされ、基板110及びシリコン窒化物は、物質1300に対して、それぞれ選択的にエッチされることが好ましい。1つの実施の形態では、物質1300は、例えば二酸化シリコンなどの酸化物である。物質1300は、例えば、CVDなどの適切な成膜法によって形成することができるが、スピンオングラス(SOG)法で形成することが好ましい。物質1300は、以下の説明に見られるように、最終的な構造において、フィールド分離素子として機能する。
また、図13には、コンタクトギャップ306を処理することによって形成されたコンタクトトレンチ1302が示されている。コンタクトトレンチ1302に関しては、前述の第2トレンチ1200を形成するためのプロセスの間に、エッチング及び埋込が行われることが好ましい。
コンタクトトレンチ1302の深さは、約4,500Å〜約5,500Åの範囲が好ましく、より好ましい範囲は約4,750Å〜約5,250Aである。コンタクトトレンチ1302の幅は、約4F〜約6Fの範囲が好ましく、又はU字形デバイスの約2〜3レングス(2−3lengths)である。また、コンタクトトレンチ1302は、デバイス100の横方向に長く延びている。
図14は、第1断面と同じ方向から見た断面の図であり、デバイス100の表面を平坦化した後の図13に示したデバイス100を示している。適切な平坦化プロセスとしては、例えば、化学的機械的平坦化(化学的機械的研磨:CMP)などを用いることができる。
図14に示したように、デバイス100は、対の「バルク」シリコンピラー1400を備えている。それぞれの第2トレンチ、すなわちディープトレンチ1200は、図示した実施の形態では酸化物1300で埋め込まれており、1対の「バルク」シリコンピラー1400と、隣の対の「バルク」シリコンピラー1400とを分離している。深さがより浅い第1トレンチ800は、図示した実施の形態では酸化物又は窒化物900で埋められており、それぞれのシリコンピラー1400における第1シリコンピラー1402と第2シリコンピラー1404とを分離している。
図15は、図14に示したデバイスの斜視図である。第1トレンチすなわちシャロートレンチ800、第2トレンチすなわちディープトレンチ1200、コンタクトトレンチ1302及びシリコンピラー1400は、デバイス100の横方向に長く延びている。
図3を参照して説明したように、フォトマスク300を用いることによって、デバイス100にエッチされたライン302及びギャップ304が画定される。上述の処理ステップを実施することにより、フォトマスク300のラインフィーチャ302及びギャップフィーチャ304によって、トレンチ800、1200及びピラー1402、1404が形成される。エッチング処理の間にシリコン基板110を保護するスペーサが形成されるため、デバイス100は、マスク300のライン及びギャップフォトフィーチャ302、304の1つ1つに対して、近似した(approximately)2つのピラー1402、1404を備えている。フォトマスク300における同じで、隣接するフィーチャ間の距離は、シリコンピラー1402、1404間の距離の約2倍であり、さらに密にパックされたピラーは、リソグラフィ法で画定される臨界ディメンジョンに対して、「ダブルピッチ(double pitched)」又は「マルチピッチ(pitch multiplied)」と呼ばれている。
図16は、図15に示したデバイス100に適用される第3のフォトマスク1600の一部を示す図である。マスク1600には、開口部内に分離したライン1602のパターンが形成されている。ライン1602は、ギャップ1604によって相互に分離されている。ライン1602及びギャップ1604は、縦方向に沿って延びている。また、第3マスク1600は、離隔したライン1602及びギャップ1604のパターンと、アレイバウンディング(array-bounding)第2マスク1000との間に、1つの領域を形成する。
図7に示したように、スペーサ702は、ライン302に残っている酸化物210の列の側部及び端部に沿って形成され、酸化物210のそれぞれの列の端部の周りでループを形成する。さらに、図11に示したように、スペーサ1102が、スペーサ702の側部及び端部に沿って形成され、シャロートレンチ800の周りにループを形成する。以下に説明するエッチングプロセスの間に、間隔を隔てたライン1602及びギャップ1604のパターンを囲む第3マスクの領域により、シャロートレンチ800の周りにおけるスペーサ702及び1102のループがエッチされて除去される。このように、スペーサ702、1102は、ギャップ1100の側部内周に沿って横方向に長く延びており、ラインを形成し、デバイス100の周辺部にループを形成しない。
図17は、フォトマスク1600のパターンが、その下部に位置するハードマスク物質1700に転写された後の図16に示したデバイスを示す図である。図17は、B−B線に沿ってデバイス100をスライスすることによって形成された面、すなわち第1断面と直交する第2断面から見たデバイス100を示す図である。
1つの実施の形態では、ラインの幅をFよりさらに狭くするために、カーボンシュリンクプロセスを用いることによって、ライン1602の幅が0.5F(Fは、フォトマスクのフィーチャに関する最小の印刷可能なサイズ)、ギャップ1604の幅が1.5Fとなる。カーボンシュリンクプロセスは、マスク1600のピッチを変化させない。フォトマスク1600の斜線部、すなわちライン1602は、フォトリソグラフィ及びエッチング処理後に、ハードマスク層として残る領域を表している。また、非斜線部、すなわちギャップ1604及び縁(border)1606(図16)は、ハードマスク層が除去される領域を表している。
上記のように、通常のマスキングプロセスが使用される。ハードマスク用物質1700の層を成膜後、ハードマスク1700が、周知のフォトリソグラフィ及びエッチングを利用することによってパターニングされる。例えば、ある実施の形態では、フォトレジストが、デバイス100上にブランケット層として形成され、フォトマスク1600を介して放射線(radiation)露光される。この露光に続いて、フォトレジスト膜は、ハードマスク1700の面上にフォトレジストマスクを形成するために現像され、デバイス100のギャップ領域1604及び縁(border)領域1606(図16)における基板110を露出するために、ハードマスク1700がエッチされる。
図17に示したように、ハードマスク1700は基板110の領域上に残り、第3マスク1600により、ライン1602が形成される。ライン1602は、カーボンシュリンクプロセス(例えば、等方性エッチング)を用いて、幅0.5Fまで狭くすることが好ましく、その場合、ギャップ1604の幅は1.5Fになる。なお、Fは、フォトマスクのフィーチャの印刷可能な最小サイズである。
図18は、第2断面と同じ方向から見た断面の図であり、複数の第3トレンチ、すなわちワードライントレンチ1800の形成後で、ハードマスク1700の除去後の図17に示したデバイス100を示している。
第3トレンチ1800は、デバイス100の基板110の領域に、エッチングにより形成される。シリコン基板110及び酸化物900、1300は、酸化物及びバルクシリコンを同じ速度でエッチングするドライエッチングを用いることによってエッチすることができる。別の実施の形態では、第1のエッチングによってシリコン基板110のエッチングを行い、第2のエッチングによって酸化物900、1300のエッチングを行う。その逆で、第1のエッチングによって酸化物900、1300のエッチングを行い、第2のエッチングによってシリコン基板110のエッチングを行ってもよい。
第3トレンチ、すなわちワードライントレンチ1800の深さは、約3,600Å〜約4,400Åの範囲が好ましく、さらに好ましい範囲は約3,800Å〜4200Åである。また、第3トレンチ1800の幅は、約1.5F又は約1450Å〜約1780Åの範囲が好ましく、より好ましい範囲は約1540Å〜約1700Åである。第3トレンチ1302は、水平面に横に延びており、デバイス100の第1トレンチ800及び第2トレンチ1200に対して、実質的に垂直又は直交している。
第3トレンチ1800は、第1トレンチ800より深いことが好ましく、それによって、第3トレンチ1800の側壁に沿ったトランジスタのゲート電極の形成が可能になる。さらに、第3トレンチ1800は、第2トレンチ1200と同じ程度に深くないことが好ましく、第2トレンチ1200によって、ワードラインがイネーブルされる時に、近接したトランジスタ間の分離が提供される。
デバイス100は、さらに、第3トレンチ1800間に形成されたシリコンピラー1802を備えている。
図19は、第2断面と同じ方向から見た断面の図であり、デバイス100上に、絶縁物質1902の層を形成し、さらに物質1904の層を形成した後の図18に示したデバイス100を示している。1つの実施の形態では、絶縁層は、シリコン酸化物で構成されたゲート酸化物である。また、絶縁物質1902の厚さは、約50Å〜約70Åの範囲が好ましく、より好ましい範囲は約54Å〜約66Åである。1つの実施の形態では、絶縁物質1902の形成には、半導体基板110のウエット酸化又はドライ酸化とそれに続くマスクを介するエッチング、又は絶縁層の成膜法を適用することができる。
1つの実施の形態では、物質1904は、例えばポリシリコンなどのゲート電極層を構成するものであり、その厚さは約1/2Fである。ポリシリコンの厚さは、約540Åであることが好ましく、より好ましい範囲は約490Å〜約510Åである。ポリシリコン1904は、例えば、化学気相成長(CVD)法、物理気相成長(PVD)法などの適切な成膜法を用いることによって形成することができる。
また、ポリシリコン1904は、縁領域1606(図16)のエッチングによって形成されたトレンチ内にも形成される。
図20は、第2断面と同じ方向から見た断面の図であり、スペーサエッチング後、すなわち、スペーサ2000を形成するために、ポリシリコン1904及び絶縁物質1902のエッチング及びリセシング(溝形成)を行った後の図19に示したデバイス100を示す図である。また、スペーサエッチングによって、第3トレンチ1800のボトム部でスペーサ2000が分離される。
スペーサ2000を形成するためのポリシリコン1904及び絶縁物質1902のリセシングによって、シリコンピラー1802の上部側面2002が露出する。リセスは約900Å〜約1100Å、すなわちトレンチ1800の深さの約1/3である。
図21は、第2断面と同じ方向から見た断面の図であり、デバイス100を再酸化した後で、ゲート分離スペーサ2102を形成した後の図20に示したデバイス100を示す図である。
いくつかの実施の形態では、処理の際に、ゲート酸化物1902に損傷が生じる。再酸化処理によって、露出したコーナー部、すなわちピラーのトップ部及び第3トレンチ1800のボトム部におけるコーナー部のゲート酸化物1902に生じた損傷の少なくとも一部を修復することができる。再成長したゲート酸化物2100によって、トランジスタの活性領域が、ゲート電極の高フィールド(high-field)コーナーでスペーサ2000から分離され、再酸化処理の完了後、特徴的なバーズビーク形が形成される。スペーサ2000は、ゲート電極、すなわちゲート層2000である。1つの実施の形態では、再酸化には、基板110のウエット酸化又はドライ酸化、又は他の一般的な酸化処理法が適用される。また、1つの実施の形態では、ゲート層1904上に形成された再成長ゲート酸化物2100は、ゲート層1904からエッチバックされる。
また、図21に示したように、スペーサ2102が、シリコンピラー1802の露出している上部側面2002に形成される。スペーサ2102は、例えば、シリコン窒化物などの窒化物含有物質で構成され、スペーサ702の形成に用いられた前述のプロセスと同様なプロセスで形成される。このスペーサ2102は、スペーサ2000より小さく、後に行われるサリサイドプロセスに起因する、漏洩電流を抑制又は防止し、ゲートの高フィールドコーナーでのシールディングを強化することにより、ソース/ドレインへのゲートのショートを防止する。また、スペーサ2102を形成するプロセスにより、トレンチ1800のボトム部におけるポリシリコンスペーサ2000間のギャップが、窒化物含有物質で埋められる。
図22は、第2断面と同じ方向から見た断面の図であり、導電層2200を形成した後の図21に示したデバイス100を示す図である。
1つの実施の形態では、ポリシリコンスペーサ2000は、導電性物質2200の層を形成するためにサリサイド化される(自己整合シリサイド化)。金属層が全面に形成され、アニール処理によって、シリコンスペーサ2000のトップ部及び露出面など、金属がシリコンにコンタクトする位置でシリサイド化を起こさせる。1つの実施の形態では、シリサイド物質2200は、シリコンと、例えば、タングステン、チタニウム、ルテニウム、タンタル、コバルト、ニッケルなどの金属で構成され、その厚さは、約100Å〜300Åの間、より好ましくは約190Å〜210Åの間である。選択的な金属エッチングにより、余剰の金属及びシリコンに接触しない金属を除去する。
金属シリサイドによって、自己整合ストラッピング層2200が形成され、それによってワードラインに沿う横方向の導電率が向上する。また、金属シリサイドは、以下の図29の説明により理解されるように、ピラー1802のトップ上に形成され、ソース及びドレインコンタクトが提供される。必要に応じて物理的なエッチングを行うことにより、トレンチ1800の底部においてスペーサ2000が確実に分離される。
当業者であれば、導電層2200は、例えば、金、銅、アルミニウムなどの他の金属でも形成可能であり、シリコンと反応する必要がないことを理解できるであろう。また、金属の混合物も導電層2200を形成するのに適している。金属ストラッピング層2200をサリサイドプロセスによって形成しない場合には、シリコンの上への選択的な成膜プロセスが好ましい。導電層2200の別の形成方法には、限定されるものではないが、急熱化学気相成長(RTCVD)法、低圧化学気相成長(LPCVD)法及び物理気相成長(PVD)法がある。
図23は、第2断面と同じ方向から見た断面の図であり、絶縁物質2300で、第3トレンチ1800の残りの部分を埋めた後の図22に示したデバイス100を示す図である。1つの実施の形態では、絶縁物質2300は、例えば、シリコン酸化物などの酸化物である。絶縁物質2300は、例えば、SOD、CVD、PVDなどの適切な成膜法を用いることによって形成することができる。
また、図23には、平坦化後のデバイス100が示されている。例えば、化学的機械的研磨(CMP)などの適切な平坦化処理を用いることができる。CMPスラリーは、ピラートップ部のコンタクトを保護するために、シリサイドに対して選択的であることが好ましい。
第2断面の図に示されているように、デバイス100は、第3トレンチ1800を埋めた複数の酸化物によって相互に分離された1列のシリコンピラー1802を備えている。シリコンピラー1802の幅は、約410Å〜510Åが好ましく、より好ましくは440Å〜480Åである。第3トレンチ1800には、さらにゲート絶縁層1902、ゲート層2000及び導電性ストラッピング層2200が設けられている。
図24は、デバイス100を示す平面図である。デバイス100は、シリコンピラー1802のアレイ、第1トレンチ、すなわちシャロートレンチ800、酸化物が埋め込まれた第2トレンチ、すなわちディープトレンチ1200及び酸化物が埋め込まれた第3トレンチ、すなわちワードライントレンチ1800を備えている。第1トレンチ、すなわちシャロートレンチは、図示した実施の形態では酸化物で埋められているが、別の実施の形態では窒化物で埋められる(図32〜34及び関連する説明を参照のこと)。デバイス100は、さらに、絶縁層1902(図示されていない)、ワードライン2000及び金属ストラッピング層2200を備えている。シリコンピラー1802の側部のみに形成され、シリコンピラー1802とワードラインスペーサ2000とを分離する薄い層である絶縁層1902は、図面を明確にするために図示されていない。金属ストラッピング層2200も、図面を明確にするために図示されていない。
シリコンピラー1802のアレイは、第1ピッチ2402及び第2ピッチ2404を有する。それらのピッチは、アレイにおける繰り返し素子間の距離である。第1ピッチ2402は、y方向で測定されるシリコンピラー1802の幅と、y方向で測定されるシリコンピラー1802間の距離との和である。第2ピッチ2404は、x方向で測定されるシリコンピラー1802の長さと、x方向で測定されるシリコンピラー1802間の距離との和である。1つの実施の形態では、第2ピッチ2404は、第1ピッチ2402の約2倍の大きさである。
ピラー1802の対により、さらに縦型トランジスタの突起2406が形成される。縦型トランジスタのそれぞれの突起2406は、2つのピラー1802を備え、2つピラー1802は、第1トレンチ、すなわちシャロートレンチ800を埋めた酸化物又は窒化物によって分離され、シャロートレンチ800の下部延びるチャネルベースセグメント2407によって接続されている。縦型トランジスタ2406は、酸化物が埋められた第2トレンチ、すなわちディープトレンチ1200によって、y方向で相互に分離されている。
ワードラインスペーサ、すなわちワードライン2000は、酸化物が埋められた第3トレンチ、すなわちワードライントレンチ1800によって相互に分離されている。
図25は、デバイス100のシリコンピラー1802を示す斜視図である。なお、ワードライントレンチ1800に形成された絶縁層1902、ワードライン2000及び金属ストラッピング層2200は、図を明確に示すために除外されている。また、トレンチ800、1200及び1800も、図を明確に示すために埋められていない。
図25には、上記の交差するトレンチによって形成された複数のU字形突起が示されている。それぞれのU字形突起は、チャネルベースセグメント2407によって接続された1対のピラー1802を備えている。また、それぞれのU字形突起2406は、縦型トランジスタのソース、ドレイン及びチャネル領域を備えている。特に、対のピラー1802のそれぞれのピラー1802は、トランジスタのソース又はドレイン領域を形成している。第1トレンチ800によって、突起2406の一方のピラー1802と、突起2406の他方のピラー1802とが分離されている。また、第2トレンチ1200によって、1つのトランジスタの突起2406が、y方向で別のトランジスタの突起2406から分離されている。
それぞれのU字形ピラー構造は、ワードライントレンチ1800に面する2つのU字形側面を有し、2面(two-sided)サラウンドゲートトランジスタを形成している。それぞれのU字形ピラー対は、共通のソース、ドレイン及びゲートを有し、2つの背中合わせ(back-to-back)のU字形トランジスタのフローパスを構成している。それぞれのU字形ピラー対における背中合わせのトランジスタのフローパスは、ソース、ドレイン及びゲートを共有しているので、それぞれのU字形ピラー対における背中合わせのトランジスタのフローパスは、相互に独立には動作しない。また、それぞれのU字形ピラー対における背中合わせのトランジスタのフローパスは、1つのトランジスタの突起2406の冗長フローパスを形成する。
トランジスタがアクティブである時、電流iは、U字形トランジスタの突起2406の左側と右側の面に滞留(stay)する。U字形トランジスタの突起2406の左側及び右側の面は、第3トレンチ、すなわちワードライントレンチ1800によって画定される。それぞれのパスの電流は、1つの面に滞留する。その電流は、U字形トランジスタの突起2406のコーナーをターンしない。1つの実施の形態では、トランジスタは、完全にデプリート(deplete)されるようになり得る。
ワードライン2000は、U字形トランジスタのカラムを囲んでいる。第3トレンチ、すなわちワードライントレンチ1800によって、1本のワードライン2000が、x方向で、別のワードライン2000から分離されている。
1つの実施の形態では、第2トレンチ1200は第3トレンチ1800より深く、第3トレンチ1800は第1トレンチ800より深い。
1つの実施の形態では、第1トレンチ800は酸化物含有物質900で埋められ、第2トレンチ1200は酸化物含有物質1300で埋められ、第3トレンチ1800は酸化物含有物質2300で埋められる。別の実施の形態では、必要に応じて、第1トレンチ800は窒化物含有物質で埋められ、第2トレンチ1200は酸化物含有物質で埋められ、第3トレンチ1800は酸化物含有物質で埋められる。さらに、以下に図32〜35を参照して実施の形態を説明するように、追加処理ステップにより、第1トレンチ800から窒化物含有物質を除去し、第1トレンチ800を導電性物質で埋めることができる。
図26は、第1断面と同じ方向から見た断面のトランジスタに関する1つの実施の形態を示す図であり、ゲートラインスペーサ2000(図示されていない)によって、トランジスタの突起2406のソース/ドレイン領域が、相互にゲート制御可能に接続されている状態を示している。ゲートラインスペーサ2000は、図面の面に平行であるので、図26には示されていないが、ゲートラインスペーサ2000の高さは、破線2414、2416によって示されている。また、破線2416は、第3トレンチ、すなわちワードライントレンチ1800のボトム部を示している。
トランジスタの突起2406は、チャネルベースセグメント2407によって接続された第1シリコンピラー2600及び第2シリコンピラー2602を備えている。それぞれのピラー2600、2602は、ピラーの最上部にn+ドープトソース/ドレイン領域を備えており、ピラー2600の高濃度にドープされた領域は符号2604で示され、ピラー2602の高濃度にドープされた領域は符号2606で示されている。
トランジスタの突起2406は、さらに、チャネルベースセグメント2407を介して、n+ドープト領域2606からn+ドープト領域2604に延びるドープト領域2608を備え、そのドープト領域2608はp−で示されている。このドープト領域2608が、トランジスタのU字形チャネルを形成している。
第1ピラー2600のn+ドープトソース/ドレイン領域2604は、U字形チャネル2608を介して、第2ピラー2602のn+ドープトソース/ドレイン領域2606と接続されている。トランジスタのチャネル長は、U字形チャネル2608を介して、ソース/ドレイン領域2604からソース/ドレイン領域2606に延びる長さである。
また、チャネル長に沿った部分のドーパントの濃度及びタイプを調整することによって、デバイスのチャネルの特性が影響を受ける。さらに、デバイスの特性は、ピラー2600及び2602に使用される物質のタイプによって影響を受ける。また、デバイスの特性は、ゲートラインスペーサ2000に用いられる物質のタイプ及びゲートラインスペーサ2000の厚さによって影響を受ける。
前述のエッチングステップの前に、チャネル及びソース/ドレイン領域を形成するために、半導体基板110に対するドーピング処理を行うことが好ましい。1つの実施の形態では、半導体デバイス100の処理を行う前に、エピタキシャル層104へのドーピング処理を行うことによって、ソース/ドレイン領域を形成する。別の実施の形態では、前述のエッチングステップの間に処理ステップを追加して、半導体基板110に対するドーピング処理を行うことにより、ソース/ドレイン領域を形成する。さらに別の実施の形態では、前述のエッチングステップ後に処理ステップを追加して、半導体基板110に対するドーピング処理を行うことにより、ソース/ドレイン領域を形成する。半導体デバイス100に対しては、例えば、イオン注入又は拡散などの適切なドーピング処理を用いることによって、ドーピング処理を行うことができる。
図26には、本発明の典型的な実施の形態が示されている。また、本発明には、様々な変更も包まれることが理解されるべきである。例えば、図26に示されたドーパントのタイプは、図示された態様に対して逆にすることができる。したがって、n型領域は、反対の導電型(すなわち、p型)領域に変更することができる。同様に、p型領域は、反対の導電型(すなわち、n型)領域に変更することができる。
図27は、従来のアドレス信号2714及びデータ信号2716によって他の電子回路2712とインターフェースで接続されたメモリアレイ2710を示す図である。アドレス信号2714は、メモリアレイ2710内の1つ以上のセルを選択する。一方、データ信号2716は、メモリアレイ2710に格納されているか、又はメモリアレイ2710から取り出されたデータを伝送する。
1つの実施の形態では、メモリアレイ2710は、ダイナミックランダムアクセスメモリ(DRAM)である。別の実施の形態の場合には、メモリアレイ2710は、スタティックメモリ、ダイナミックメモリ、イクステンデッドデータアウトメモリ、イクステンデッドデータアウトDRAM(EDO DRAM)、同期型DRAM(SDRAM)、ダブルデータレート同期型DRAM(DDR SDRAM)、同期リンク型DRAM(SLDRAM)、ビデオRAM(VRAM)、ランバス(rambus)DRAM(RDRAM)、スタティックランダムアクセスメモリ(SRAM)、フラッシュメモリ、その他の本技術分野で周知のタイプのメモリなどの様々なメモリ素子である。
また、メモリアレイ2710は、異なるタイプの電子回路とインターフェースする。一例として、電子回路2712には、限定されるものではないが、コンピュータなどを含むメモリにアクセスするか、メモリに依存(rely)するデバイスがある。
コンピュータには、一例として、データ及び命令を表す、プロセッサ、プログラムロジック又はその他の基板の構成物が含まれ、本明細書で説明されるように動作する。他の実施の形態では、プロセッサには、コントローラ回路、プロセッサ回路、プロセッサ、汎用のシングルチップ又はマルチチップのマイクロプロセッサ、ディジタル信号プロセッサ、組込マイクロプロセッサ、マイクロコントローラなどが含まれる。
いくつかの実施の形態では、メモリアレイ2710と電子回路2712とは、別々に実装(implement)される。他の実施の形態では、メモリアレイ2710及び電子回路2712が、一体的に集積される。さらに、当業者であれば、メモリアレイ2710は、様々なデバイス、製品及びシステムに組み込み可能であることを認識するであろう。
図28は、複数のメモリセル2820を備えたメモリアレイ2710を示す図である。これらのメモリセル2820は、カラムC1−CN及びローR1−RNに編成されている。カラムデコーダ2824及びローデコーダ2826は、対象のメモリセル2820のカラムCN及びローRNを特定するために、アドレス信号2714を処理する。カラム(図示された構成における)は、一般にワードラインとして知られており、ローは、通常ディジットラインとして知られている。
図29は、デバイス100によって形成されたメモリアレイ2710の一部を示す図である。1つの実施の形態では、それぞれの縦型のトランジスタのピラー1802の1つが、ディジットライン、すなわちビットライン2914と接続し、トランジスタの他方のピラー1802が、例えば、キャパシタなどのメモリストレージデバイス2910(C)と接続しており、それによって、例えば、DRAMなどのメモリ素子の一部を形成している。1つの実施の形態では、メモリストレージデバイス2910は、プラグ又はコンタクト2912を介して、トランジスタのピラー1802の1つと電気的に接続されている。ワードライン2000は、破線2414、2416によって示されている。
代表的な実施の形態では、メモリセル2820は、U字形トランジスタの突起2406、コンタクト2912、メモリストレージデバイス2910及びビットライン2914を備え、メモリアレイ2710において、4Fのスペースを占める。ここで、Fはフォトレジストマスク300、1600によって画定される最小の印刷可能なフィーチャである。図1〜29によって示された実施の形態では、スペーサ702、1102が、フォトレジストマスクのFサイズのフィーチャ(F sized features)を減少させる。
図30は、複数のワードライン2000を備えるメモリアレイ2710の一部を示す図である。ワードライン2000は、U字形トランジスタの突起2406のカラムを、少なくとも部分的に囲んでいる。デバイス100におけるU字形トランジスタの突起2406のカラムに沿ったコンタクトトレンチ1306は、上方からのワードラインコンタクトに対して余地を提供する。
図31は、ワードライン2000を採用するメモリアレイ2710の部分に関する別の実施の形態を示す図である。ワードライン2000用のコンタクトは、トランジスタのカラムの交互の端部に位置している。この実施の形態では、ワードライン2000は、メモリアレイ2710内でより高度に集積されるようにパターニングされる。
図32〜35は、ワードライン3200を備えたメモリアレイ2710の一部に関する別の実施の形態を示す図である。メモリアレイ2710は、複数の3面(three-sided)トランジスタ3202を備えている。それぞれのトランジスタ3202は、図1〜14を参照してすでに説明したように形成された2つのシリコンピラー1802を備えている。第1トレンチ、すなわちシャロートレンチ800は、シリコン窒化物などの窒化物含有物質で埋められている。また、ワードライントレンチ1800は、図16〜18を参照して説明したように形成されている。
ワードライントレンチ1800内に、ゲート絶縁層1902が形成され、ゲート層1904を形成する前に、図19に示したように、窒化物の選択的なエッチングにより、シャロートレンチ800から窒化物(図14参照)が除去される。
選択的な窒化物のエッチングにより、シャロートレンチ800から窒化物が除去された後、図19に示したように、ゲート絶縁層1902が形成され、ワードライントレンチ1800にゲート層1904が形成される。ゲート絶縁層1902も、シャロートレンチ800内に形成される。さらに、ゲート層1904も、シャロートレンチ800に形成される。シャロートレンチ800はワードライントレンチ1800より幅が狭いので、ゲート層1904の形成によって、シャロートレンチ800が埋められる。
図20に示したように、ゲート層1904のスペーサエッチングにより、シャロートレンチ800内に形成されたゲート層1904がリセスされるが、シャロートレンチ800内のゲート層1904は除去されない。
図21〜23に示したように処理が続く。デバイス100が再酸化され、スペーサ2102が形成される(図21)。導電層2200が形成され(図22)、デバイス100は平坦化される(図23)。
図32に示したように、上記のプロセスによって形成されたワードライン3200により、はしご形(ladder-shaped)ポリシリコンゲート層3200が画定される。トランジスタ3202は、はしご形ゲート層3200によって、3つの側面が囲まれ、それによって、3面サラウンドゲートトランジスタが形成される。
図33は、図32に示したA−A線によって形成される面から見たU字形トランジスタ3202の断面を示す図である。デバイス100は、1対のシリコンピラー1802、酸化物で埋められたディープトレンチ1200、シャロートレンチ800及び基板110を備えている。シャロートレンチ800は、絶縁層1902を備え、ゲート層3200で埋められている。図面の面に平行なゲート層3200のセクションは、破線で示されている。ピラー1802の対によって、トランジスタ3202が形成される。ピラー1802の対におけるそれぞれのピラー1802は、ポリシリコンで埋められたシャロートレンチ800によって、ピラー1802の対を構成するもう一方のピラー1802から分離されている。それぞれのトランジスタ3202は、酸化物で埋められたディープトレンチ1200によって、別のトランジスタ3202と分離されている。
図示した実施の形態では、それぞれのピラー1802は、ピラーの最上部にp+ドープトソース/ドレイン領域を有している。さらに、トランジスタ3202は、1つのピラー1802のp+ドープト領域から、もう一方のピラー1802のp+ドープト領域に延びるn−ドープト領域を備えている。ワードライン3200は破線によって示されている。
図34は、図32に示したB−B線によって形成される面から見た、メモリアレイ2710の断面を示す図である。メモリアレイ2710は、シリコンピラー1802を備えている。シリコンピラー1802は、酸化物で埋められた第3トレンチ1800によって相互に分離されている。シリコンピラー1802の幅は、約410Å〜510Åが好ましく、より好ましくは約440Å〜480Åである。さらに、メモリアレイ2710は、ゲート絶縁層1902、ワードライン3200及び導電性ストラッピング層2200を備えている。
図35は、図32に示したC−C線によって形成される面から見たメモリアレイ2710の断面を示す図であり、便宜上導電性ストラッピング層を除いて図示されている。この図面は、はしご形ゲート層3200の「横木(rung)」を形成する、(部分的に)ポリシリコンで埋められたシャロートレンチ800を示している。シャロートレンチ800の底部3500は、はしご形ゲート層3200の「横木」の下端を画定する。メモリアレイ2710は、シリコンピラー1802を備えている。シリコンピラー1802は、酸化物で埋められた第3トレンチ1800によって相互に分離されている。酸化物で埋められた第3トレンチ1800は、はしご形ゲート層3200の「サイド」を構成する。メモリアレイ2710は、さらに、ゲート絶縁層1902及び導電性ストラッピング層2200備えている。
多数のアプリケーションに、本発明の手順(methodology)を使用することができる。例えば、1つのトランジスタ、1−キャパシタの4F2−DRAMセルの形成に、本発明を利用することができる。特定の実施の形態では、本発明は、縦型のDRAMセル技術を含むと考えることができる。トランジスタの1つのピラーにより、セルストレージデバイスが基板に接続され、トランジスタのもう一方のピラーにより、ディジットラインが基板に接続される。自己整合横方向(lateral)チャネル領域は、縦型ソース/ドレイン領域のピラーを相互に接続する。U字形トランジスタの突起2406が、共通のソース、ドレイン及びゲートを共有する2つのU字形の面を備え、セルが、縦軸問題に冗長性を有することができるので、セルは、低いディジットキャパシタンスと低いワードライン抵抗を有することができる。
本発明に係るいくつかの実施の形態を説明したが、これらの実施の形態は、例としてだけ示したものであり、本発明の技術的範囲を限定することを意図するものではない。実際、本明細書で説明された新規な方法及びシステムは、様々な他の形で実施することができる。すなわち、本明細書で説明された方法及びシステムの形において、様々な省略、置換及び変更を、本発明の技術的範囲を逸脱することなく行うことができる。付記するクレーム及びそれらの均等物は、本発明の技術的範囲及び精神の範囲に入るように、そのような形及び変更をカバーすることを意図している。
トランジスタのアレイを形成することができる、実施の形態に係る半導体デバイスを示す斜視図である。なお、A−A線に沿って得られる図面は、半導体デバイスの第1断面を示し、B−B線に沿って得られる図面は、半導体デバイスの第2断面を示す。 追加の半導体処理層の形成後、実施の形態に係る半導体デバイスに関して、A−A線に沿って得られた断面図である。 図2に示したデバイスに適用される、実施の形態に係るフォトマスクを示す平面図である。 図2に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、図3に示したフォトマスクを適用して転写し、ハードマスク層をパターニングした後の状態を示している。 図4に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、酸化物層にパターンを転写し、ハードマスクを取り除いた後の状態を示している。 図5に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、スペーサ物質のブランケット層を形成した後の状態を示している。 図6に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、スペーサエッチングの後状態を示している。 図7に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、第1セットのトレンチを形成した後の状態を示している。 図8に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、第1セットのトレンチを埋めた後の状態を示している。 図9に示したデバイスに適用される、実施の形態に係るフォトマスクを示す平面図である。 図9に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、トップ部の酸化物を除去した後の状態を示している。 図11に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、第2セットのトレンチを形成した後の状態を示している。 図12に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面を示すとともに、第2セットのトレンチとコンタクトトレンチとを埋めた後のコンタクトトレンチの断面を示す図である。 図13に示した実施の形態に係るデバイスに関して、A−A線に沿って得られた断面図であり、表面を平坦化した後の状態を示している。 図14に示した実施の形態に係るデバイスを示す斜視図である。 図14及び15に示したデバイスに適用される、実施の形態に係るフォトマスクを示す平面図である。 図15に示した実施の形態に係るデバイスに関して、B−B線に沿って得られた断面図であり、図16に示したフォトマスクを適用し、ハードマスク層をパターニングした後の状態を示している。 図17に示した実施の形態に係るデバイスに関して、B−B線に沿って得られた断面図であり、第1及び第2セットのトレンチに直交した第3セットのトレンチを形成した後の状態を示している。 図18に示した実施の形態に係るデバイスに関して、B−B線に沿って得られた断面図であり、ゲート絶縁層及びゲート電極層を形成した後の状態を示している。 図19に示した実施の形態に係るデバイスに関して、B−B線に沿って得られた断面図であり、スペーサエッチング、及びゲート電極層、絶縁層のリセシングを行った後の状態を示している。 図20に示した実施の形態に係るデバイスに関して、B−B線に沿って得られた断面図であり、デバイスの再酸化によってバーズビークを形成し、リセスされたゲート電極層及びゲート絶縁層のトップ部に絶縁スペーサを形成した後の状態を示している。 図21に示した実施の形態に係るデバイスに関して、B−B線に沿って得られた断面図であり、金属層を形成し、自己整合シリサイド化プロセスを実施した後の状態を示している。 図22に示した実施の形態に係るデバイスに関して、B−B線に沿って得られた断面図であり、第3セットのトレンチを埋め込み、表面を平坦化した後の状態を示している。 図23に示した実施の形態に係るデバイスを示す模式的平面図である。 図23及び24に示したトランジスタのU字形突起及びトレンチを示す斜視図であり、説明のために、埋込物質を除いた状態を示している。 実施の形態に係るU字形トランジスタを示す断面図であり、ゲート電極に関するn+ソース/ドレイン領域、p−チャネル及びそれらの相対的位置を示している。 マイクロプロセッサとメモリ素子間の通信(communication)を示す概略図である。 複数のワードライン及びディジットラインを含むメモリアレイを示す回路図である。 メモリアレイの一部を示す模式的断面図である。 メモリアレイの一部を示す模式的平面図であり、好ましい実施の形態で用いられる実施の形態に係るワードラインを示している。 メモリアレイの一部を示す模式的平面図であり、好ましい実施の形態で用いられる別の実施の形態に係るワードラインを示している。 メモリアレイの一部を示す模式的平面図であり、別の実施の形態に係るワードラインを示している。 図32に示したA−A線に沿って得られた断面図であり、3面トランジスタを示している。 図32に示したB−B線に沿って得られた断面図である。 図32に示したC−C線に沿って得られた断面図であり、3面トランジスタに関する逆U字形ゲート層を示している。

Claims (53)

  1. 集積回路用トランジスタの形成方法であって、
    半導体基板をエッチングして、第1ピラー及び第2ピラーを備えたU字形シリコンピラー対及び前記U字形シリコンピラー対を囲むエッチングされた領域を形成するステップと、
    前記第1ピラー中に、第1ソース/ドレイン領域を形成するステップと、
    前記第2ピラー中に、第2ソース/ドレイン領域を形成するステップと、
    前記エッチングされた領域の少なくとも一部内にゲートラインを形成するステップであって、前記ゲートラインの少なくとも一部が、前記第1ピラーと前記第2ピラーとを分離するトレンチに垂直に延びており、かつ、前記第1ソース/ドレイン領域、前記第2ソース/ドレイン領域、及び前記ゲートラインの前記少なくとも一部が、U字形トランジスタを形成する、ステップと、
    を含むことを特徴とする集積回路用トランジスタの形成方法。
  2. 前記エッチングされた領域の少なくとも一部内の前記U字形シリコンピラー対に誘電体層を形成するステップを更に含み、前記誘電体層が、前記第1ピラー及び前記第2ピラーを少なくとも部分的に囲むことを特徴とする請求項1に記載の集積回路用トランジスタの形成方法。
  3. 前記ゲートライン上に金属層を形成するステップと、前記金属層の自己整合サリサイド化処理を行うステップと、を更に含むことを特徴とする請求項1に記載の集積回路用トランジスタの形成方法。
  4. 前記エッチングされた領域の少なくとも一部を、酸化物含有物質で埋めるステップを更に含むことを特徴とする請求項1に記載の集積回路用トランジスタの形成方法。
  5. 半導体基板内に、第1の深さまで第1のトレンチをエッチングするステップと、
    前記半導体基板に、第2の深さまで第2のトレンチをエッチングするステップであって、前記第1のトレンチは前記第2のトレンチに実質的に平行であり、前記第1のトレンチと前記第2のトレンチとが、前記半導体基板内に、相互に間隔を隔てて交互に配置される、ステップと、
    前記半導体基板に、第3の深さまで第3のトレンチをエッチングするステップであって、前記第3のトレンチが、前記第1のトレンチ及び前記第2のトレンチに実質的に直交し、前記第1、第2及び第3のトレンチが、縦方向に延びるピラーのアレイを画定し、縦方向に延びる前記ピラーのアレイが縦方向のソース/ドレイン領域を備える、ステップと、
    前記第3のトレンチの少なくとも一部内にゲートラインを形成するステップであって、前記ゲートライン及び前記縦方向のソース/ドレイン領域が、複数のトランジスタを形成し、該複数のトランジスタ内では、前記ソース/ドレイン領域の対がトランジスタチャネルを介して相互に接続される、ステップと、
    を含むことを特徴とする半導体素子の形成方法。
  6. 前記第3の深さが、前記第1の深さより深く、前記第2の深さより浅いことを特徴とする請求項5に記載の半導体素子の形成方法。
  7. 前記第1のトレンチの少なくとも一部を、酸化物含有物質で埋めるステップを更に含むことを特徴とする請求項5に記載の半導体素子の形成方法。
  8. 前記第1のトレンチの少なくとも一部を、導電性ゲート物質で埋めるステップを更に含むことを特徴とする請求項5に記載の半導体素子の形成方法。
  9. 前記第2のトレンチの少なくとも一部を、酸化物含有物質で埋めるステップを更に含むことを特徴とする請求項5に記載の半導体素子の形成方法。
  10. 前記ゲートラインが、ゲート電極層及び金属層を備えることを特徴とする請求項5に記載の半導体素子の形成方法。
  11. 前記金属層が、金属シリサイドで構成されることを特徴とする請求項10に記載の半導体素子の形成方法。
  12. それぞれの前記トランジスタが、ディジットラインに電気的に接続された第1ソース/ドレイン領域及びメモリストレージデバイスに電気的に接続された第2ソース/ドレイン領域を備えることを特徴とする請求項5に記載の半導体素子の形成方法。
  13. 前記第1のトレンチ及び前記第2のトレンチは、前記第3のトレンチをエッチングする前に、エッチングされることを特徴とする請求項5に記載の半導体素子の形成方法。
  14. 半導体基板にデバイスマスクを適用して、半導体基板上に、第1ラインと第1ギャップとが交互する第1パターンを形成するステップと、
    前記半導体基板を処理して、第1のトレンチを形成するステップであって、前記第1のトレンチは、前記第1ギャップによって画定された領域の少なくとも一部内の前記半導体基板内に形成される、ステップと、
    前記第1のトレンチの形成後、アレイ領域に隣接する周辺部を保護する周辺部マスクを前記半導体基板に適用するステップと、
    前記半導体基板を処理して、前記第1のトレンチに実質的に平行な第2のトレンチを形成するステップであって、前記第2のトレンチは、前記アレイ領域の少なくとも一部内の前記半導体基板内に形成される、ステップと、
    前記第2のトレンチの形成後、前記半導体基板にワードラインマスクを適用して、前記半導体基板上に、第2ラインと第2ギャップとが交互する第2パターンを形成するステップであって、前記第2ライン及び前記第2ギャップが、前記第1ライン及び前記第1ギャップと交差する、ステップと、
    前記半導体基板を処理して、第3のトレンチを形成するステップであって、前記第3のトレンチは、前記第2ギャップにより画定された領域の少なくとも一部内の前記半導体基板内に形成され、かつ、保護された前記周辺部内には形成されない、ステップと、
    前記第3のトレンチ内に延びるゲートラインを形成するステップと、
    を含むことを特徴とするメモリアレイの形成方法。
  15. 前記デバイスマスクを適用する前に、前記半導体基板上にエピタキシャルシリコン層を形成するステップを更に含むことを特徴とする請求項14に記載のメモリアレイの形成方法。
  16. 前記第2ラインによって画定された領域の少なくとも一部内の前記半導体基板内に、ピラーのアレイを形成するステップを更に含むことを特徴とする請求項14に記載のメモリアレイの形成方法。
  17. 前記ピラーが、縦方向のソース/ドレイン領域を備えることを特徴とする請求項16に記載のメモリアレイの形成方法。
  18. ピラー対がU字形トランジスタを形成し、前記ピラー対のそれぞれのピラーが、前記第1のトレンチの1つのトレンチによって分離され、それぞれの前記U字形トランジスタが、前記第2のトレンチの1つによって、隣接するU字形トランジスタから分離されていることを特徴とする請求項14に記載のメモリアレイの形成方法。
  19. それぞれの前記トランジスタが、前記ピラー対のトップ部に、第1ソース/ドレイン領域及び第2ソース/ドレイン領域を備えることを特徴とする請求項18に記載のメモリアレイの形成方法。
  20. 前記第1ソース/ドレイン領域にディジットラインを電気的に接続するステップと、
    前記第2ソース/ドレイン領域にメモリストレージデバイスを電気的に接続するステップと、
    を更に含むことを特徴とする請求項19に記載のメモリアレイの形成方法。
  21. 前記第3のトレンチのトレンチ内で、いずれかの側がゲートラインによって囲まれたU字形トランジスタのカラムが、ワードラインを形成することを特徴とする請求項18に記載のメモリアレイの形成方法。
  22. 前記メモリストレージデバイスが、キャパシタであることを特徴とする請求項20に記載のメモリアレイの形成方法。
  23. 半導体構造における複数のU字形トランジスタの形成方法であって、
    複数の第1トレンチによって、それぞれの前記U字形トランジスタの第1ピラーと第2ピラーとを分離するステップと、
    前記第1トレンチに平行に走る複数の第2トレンチによって、それぞれの前記U字形トランジスタを、隣接する前記U字形トランジスタから分離するステップであって、前記第2トレンチは前記第1トレンチよりも半導体基板内に深く延びる、ステップと、
    前記第1及び第2トレンチに実質的に垂直に走る複数の第3トレンチによってU字形トランジスタのカラムを分離するステップであって、前記第3トレンチは、前記第1トレンチよりも前記半導体基板内に深く延びるが、前記第2トレンチほどには前記半導体基板内に深く延びない、ステップと、
    前記第3トレンチ内に延びるゲートを形成するステップと、
    を含むことを特徴とする複数のU字形トランジスタの形成方法。
  24. 前記第1トレンチを第1絶縁物質で埋めるステップを更に含むことを特徴とする請求項23に記載の複数のU字形トランジスタの形成方法。
  25. 前記第2トレンチを第2絶縁物質で埋めるステップを更に含むことを特徴とする請求項24に記載の複数のU字形トランジスタの形成方法。
  26. 前記第1ピラーと前記第2ピラーとを分離するステップの前に、前記半導体基板上にエピタキシャルシリコン層を形成するステップを更に含むことを特徴とする請求項23に記載の複数のU字形トランジスタの形成方法。
  27. 半導体基板と、
    前記半導体基板内に形成された第1及び第2U字形トランジスタであって、前記第1及び第2U字形トランジスタよりも半導体基板内に深く延びる第1トレンチによって分離された前記第1及び第2U字形トランジスタと、
    前記第1及び第2U字形トランジスタを第3及び第4U字形トランジスタから分離する第2トレンチと、
    を備え、
    前記第2トレンチは、前記半導体基板内に延びて、ゲートラインを備え、かつ、前記第1トレンチよりも浅く、
    前記U字形トランジスタは、前記第2トレンチに垂直な浅いトレンチによって分離された第1ピラー及び第2ピラーを備えていることを特徴とする集積回路。
  28. 前記第2トレンチが、ワードライントレンチであることを特徴とする請求項27に記載の集積回路。
  29. 前記第1トレンチが、酸化物含有物質で埋められていることを特徴とする請求項27に記載の集積回路。
  30. 前記半導体基板が、エピタキシャルシリコン層を備えていることを特徴とする請求項27に記載の集積回路。
  31. 半導体基板と、
    前記半導体基板内に形成されたU字形トランジスタであって、該U字形トランジスタは第1ピラー及び第2ピラーを備え、該第1ピラー及び第2ピラーは、前記半導体基板内に延びるトレンチによって分離されている、U字形トランジスタと、
    前記U字形トランジスタの互いに反対側の側面に沿って形成されたゲートラインであって、前記トレンチに実質的に垂直なゲートラインと、
    前記第1ピラーに接続されたメモリストレージデバイスと、
    前記第2ピラーに接続されたディジットラインと、
    を備えることを特徴とするメモリセル。
  32. 前記ディジットラインが、前記半導体基板上に位置することを特徴とする請求項31に記載のメモリセル。
  33. 前記メモリストレージデバイスが、前記第1ピラー上に位置することを特徴とする請求項31に記載のメモリセル。
  34. 前記ストレージデバイスが、キャパシタであることを特徴とする請求項33に記載のメモリセル。
  35. 前記メモリセルと通信するプロセッサを更に備えることを特徴とする請求項31に記載のメモリセル。
  36. 絶縁物質が前記トレンチを実質的に埋めていることを特徴とする請求項31に記載のメモリセル。
  37. 導電性ゲート物質が、前記トレンチを実質的に埋め、かつ、前記ゲートラインと電気的に接続されていることを特徴とする請求項31に記載のメモリセル。
  38. それぞれの突起が、第1U字形面、該第1U字形面とは反対側の第2U字形面、ソース、ドレイン及びチャンネルを含む、複数の突起のカラムと、
    前記カラムを相互に分離する複数のワードラインギャップであって、前記第1及び第2U字形面に対して実質的に平行である複数のワードラインギャプと、
    前記第1及び第2U字形面に面する複数のゲートラインと、
    を備えることを特徴とする半導体構造。
  39. それぞれの前記突起が、前記複数の突起を支持する半導体基板内の深いトレンチによって、前記カラムの1つ内の隣接する突起から分離されていることを特徴とする請求項38に記載の半導体構造。
  40. それぞれの前記突起が、第1ピラー及び第2ピラーを有するU字形構造を備え、前記第1ピラーが浅いトレンチによって前記第2ピラーから分離され、前記第1ピラーが、前記半導体基板から延びるチャネルベースセグメントによって、前記第2ピラーに接続されていることを特徴とする請求項39に記載の半導体構造。
  41. 前記第1ピラーが、第1ソース/ドレイン領域を備え、前記第2ピラーが、第2ソース/ドレイン領域を備えていることを特徴とする請求項40に記載の半導体構造。
  42. 互いに反対側にあって且つ端部壁によって接続された第1U字形面及び第2U字形面を有する、少なくとも1つのU字形半導体構造であって、前記第1及び第2U字形面が実質的に平行であり、前記U字形半導体構造が第1ソース/ドレイン領域及び第2ソース/ドレイン領域を備える、U字形半導体構造と、
    前記第1U字形面に沿って形成された第1チャネルと、
    前記第2U字形面に沿って形成された第2チャネルと、
    両方のU字形面に面するゲートラインと、
    それぞれの前記端部壁に直接隣接するフィールド分離素子と、
    を備えることを特徴とする電子デバイス。
  43. 前記第1ソース/ドレイン領域に電気的に接続されたメモリストレージデバイスと、
    前記第2ソース/ドレイン領域に電気的に接続されたディジットラインと、
    を更に備えることを特徴とする請求項42に記載の電子デバイス。
  44. 半導体基板をエッチングして、第1U字形面及び第2U字形面を有する少なくとも1つのU字形トランジスタを形成するステップであって、前記第1U字形面と前記第2U字形面とが実質的に平行であり、前記U字形トランジスタが、第1ソース/ドレイン領域、第2ソース/ドレイン領域及びゲートラインを備え、前記第1ソース/ドレイン領域及び前記第2ソース/ドレイン領域が前記半導体基板内に形成される、ステップと、
    前記第1U字形面に沿って、前記半導体基板内に第1チャネルを形成するステップと、
    前記第2U字形面に沿って、前記半導体基板内に第2チャンネルを形成するステップと、
    前記第1チャネル及び前記第2チャネルのそれぞれに面するゲートラインを形成するステップと、
    を含むことを特徴とするメモリセルの形成方法。
  45. 前記第1ソース/ドレイン領域に、メモリストレージデバイスを電気的に接続するステップと、
    前記第2ソース/ドレイン領域に、ディジットラインを電気的に接続するステップと、
    を更に含むことを特徴とする請求項44に記載のメモリセルの形成方法。
  46. 前記U字形トランジスタが、DRAMの一部を構成することを特徴とする請求項44に記載のメモリセルの形成方法。
  47. 半導体基板内に一組のワードライントレンチをエッチングするステップと、
    前記半導体基板内に一組の深いトレンチをエッチングするステップであって、前記一組の深いトレンチが、前記一組のワードライントレンチと交差し、かつ、前記一組のワードライントレンチと共にグリッドを形成し、前記一組のワードライントレンチ及び前記一組の深いトレンチが前記半導体基板内に複数の突起を画定する、ステップと、
    それぞれの前記突起内に浅いトレンチをエッチングして、第1ピラー、第2ピラー、及びベースを備えるU字形突起を形成するステップであって、前記浅いトレンチは前記一組の深いトレンチに対して実質的に平行である、ステップと、
    それぞれの前記突起内に高濃度ドープト領域及び低濃度ドープト領域を画定するステップと、
    前記一組のワードライントレンチ内にゲート物質を堆積するステップと、
    前記ゲート物質をスペーサエッチングして、前記突起の側壁にゲート電極を画定するステップと、
    を含むことを特徴とする半導体構造の形成方法。
  48. 前記ゲート電極は、前記突起の互いに反対側の側壁のみに形成されることを特徴とする請求項47に記載の半導体構造の形成方法。
  49. 前記高濃度ドープト領域が、前記ピラーのトップ部にソース/ドレイン領域を形成し、前記低濃度ドープト領域が、前記ピラーの下部から前記ベースを横切って延びるU字形チャネルを形成することを特徴とする請求項47に記載の半導体構造の形成方法。
  50. 前記高濃度ドープト領域及び前記低濃度ドープト領域を画定するステップが、前記一組のワードライントレンチをエッチングするステップの前に、前記半導体基板にドーピングするステップを含むことを特徴とする請求項47に記載の半導体構造の形成方法。
  51. 半導体基板と、
    前記半導体基板内にエッチングされた一組のワードライントレンチ及び一組の深いトレンチによって囲まれたU字形突起であって、前記U字形突起が、第1ピラー及び第2ピラーを備え、前記第1ピラー及び前記第2ピラーが、前記半導体基板内に延びる一組の浅いトレンチのうちの1つの浅いトレンチによって分離され、前記第1ピラーと前記第2ピラーとが、前記囲んでいるトレンチ上に延びるリッジによって接続された、U字形突起と、
    前記第1ピラーのトップ部に形成された第1ソース/ドレイン領域と、
    前記第2ピラーのトップ部に形成された第2ソース/ドレイン領域と、
    前記一組のワードライントレンチ内に形成されたゲート構造と、
    を備え、
    前記リッジと前記第1ピラー及び前記第2ピラーの下部とが、前記U字形突起の互いに反対側にU字形チャネルを画定し、前記U字形チャネルが、前記一組のワードライントレンチ内に形成されたゲート構造に面していることを特徴とする半導体構造。
  52. 前記浅いトレンチが絶縁物質で埋められることで、2面U字形トランジスタが形成されていることを特徴とする請求項51に記載の半導体構造。
  53. 前記浅いトレンチがゲート電極物質で埋められることで、3面U字形トランジスタが形成されていることを特徴とする請求項51に記載の半導体構造。
JP2007530235A 2004-09-01 2005-08-30 縦型のu字形トランジスタを有するdramセル Active JP5176180B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/933,062 2004-09-01
US10/933,062 US7442976B2 (en) 2004-09-01 2004-09-01 DRAM cells with vertical transistors
PCT/US2005/030677 WO2006028777A1 (en) 2004-09-01 2005-08-30 Dram cells with vertical u-shaped transistors

Publications (2)

Publication Number Publication Date
JP2008511997A JP2008511997A (ja) 2008-04-17
JP5176180B2 true JP5176180B2 (ja) 2013-04-03

Family

ID=35589559

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007530235A Active JP5176180B2 (ja) 2004-09-01 2005-08-30 縦型のu字形トランジスタを有するdramセル

Country Status (6)

Country Link
US (6) US7442976B2 (ja)
EP (1) EP1794791B1 (ja)
JP (1) JP5176180B2 (ja)
KR (1) KR101038870B1 (ja)
CN (1) CN101044615A (ja)
WO (1) WO2006028777A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11887845B2 (en) 2017-07-19 2024-01-30 Globalwafers Japan Co., Ltd. Method for producing three-dimensional structure, method for producing vertical transistor, vertical transistor wafer, and vertical transistor substrate

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7285466B2 (en) * 2003-08-05 2007-10-23 Samsung Electronics Co., Ltd. Methods of forming metal oxide semiconductor (MOS) transistors having three dimensional channels
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7271464B2 (en) * 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7316952B2 (en) * 2005-05-31 2008-01-08 Nanya Technology Corporation Method for forming a memory device with a recessed gate
US7316953B2 (en) * 2005-05-31 2008-01-08 Nanya Technology Corporation Method for forming a recessed gate with word lines
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7867851B2 (en) * 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
KR100688576B1 (ko) * 2005-10-14 2007-03-02 삼성전자주식회사 수직채널 트랜지스터를 갖는 반도체 메모리 장치 및 그제조방법
KR100675288B1 (ko) * 2005-11-04 2007-01-29 삼성전자주식회사 다중 채널 트랜지스터들을 갖는 반도체 소자의 제조방법들및 그에 의해 제조된 반도체 소자들
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7459390B2 (en) * 2006-03-20 2008-12-02 Texas Instruments Incorporated Method for forming ultra thin low leakage multi gate devices
US8501581B2 (en) * 2006-03-29 2013-08-06 Micron Technology, Inc. Methods of forming semiconductor constructions
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7745319B2 (en) * 2006-08-22 2010-06-29 Micron Technology, Inc. System and method for fabricating a fin field effect transistor
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US20080061363A1 (en) * 2006-09-08 2008-03-13 Rolf Weis Integrated transistor device and corresponding manufacturing method
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8643087B2 (en) * 2006-09-20 2014-02-04 Micron Technology, Inc. Reduced leakage memory cells
WO2008048985A2 (en) * 2006-10-17 2008-04-24 Texas Instruments Incorporated Method of manufacturing integrated deep and shallow trench isolation structures
DE102006048960B4 (de) * 2006-10-17 2016-12-15 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von Isolationsstrukturen mit integrierten tiefen und flachen Gräben
KR100843713B1 (ko) 2006-10-23 2008-07-04 삼성전자주식회사 미세 콘택홀을 갖는 반도체소자의 제조방법
US7521348B2 (en) * 2006-10-23 2009-04-21 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having fine contact holes
KR100834440B1 (ko) 2006-11-10 2008-06-04 삼성전자주식회사 반도체 소자의 형성방법
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7859050B2 (en) * 2007-01-22 2010-12-28 Micron Technology, Inc. Memory having a vertical access device
US20080277738A1 (en) * 2007-05-08 2008-11-13 Venkat Ananthan Memory cells, memory banks, memory arrays, and electronic systems
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
KR100886004B1 (ko) * 2007-07-02 2009-03-03 삼성전자주식회사 반도체 소자 제조 방법
US7879659B2 (en) * 2007-07-17 2011-02-01 Micron Technology, Inc. Methods of fabricating semiconductor devices including dual fin structures
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7902057B2 (en) * 2007-07-31 2011-03-08 Micron Technology, Inc. Methods of fabricating dual fin structures
TW200910533A (en) * 2007-08-22 2009-03-01 Promos Technologies Inc Method for preparing flash memory
JP5614915B2 (ja) * 2007-09-27 2014-10-29 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置、半導体装置の製造方法並びにデータ処理システム
US20090087993A1 (en) * 2007-09-28 2009-04-02 Steven Maxwell Methods and apparatus for cost-effectively increasing feature density using a mask shrinking process with double patterning
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7846812B2 (en) * 2007-12-18 2010-12-07 Micron Technology, Inc. Methods of forming trench isolation and methods of forming floating gate transistors
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100912965B1 (ko) * 2007-12-24 2009-08-20 주식회사 하이닉스반도체 수직 채널 트랜지스터를 구비한 반도체 소자의 제조 방법
US7742324B2 (en) * 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US9190494B2 (en) * 2008-02-19 2015-11-17 Micron Technology, Inc. Systems and devices including fin field-effect transistors each having U-shaped semiconductor fin
US8866254B2 (en) * 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7915659B2 (en) * 2008-03-06 2011-03-29 Micron Technology, Inc. Devices with cavity-defined gates and methods of making the same
US8546876B2 (en) * 2008-03-20 2013-10-01 Micron Technology, Inc. Systems and devices including multi-transistor cells and methods of using, making, and operating the same
US7808042B2 (en) * 2008-03-20 2010-10-05 Micron Technology, Inc. Systems and devices including multi-gate transistors and methods of using, making, and operating the same
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7969776B2 (en) * 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8026571B2 (en) * 2008-05-29 2011-09-27 United Microelectronics Corp. Semiconductor-device isolation structure
US7824983B2 (en) 2008-06-02 2010-11-02 Micron Technology, Inc. Methods of providing electrical isolation in semiconductor structures
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
US8076208B2 (en) * 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
KR100955164B1 (ko) 2008-07-04 2010-04-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
JP2010161137A (ja) * 2009-01-07 2010-07-22 Hitachi Ltd 半導体記憶装置の製造方法
KR101528817B1 (ko) * 2009-01-09 2015-06-16 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
KR101528823B1 (ko) * 2009-01-19 2015-06-15 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
JP4577592B2 (ja) 2009-04-20 2010-11-10 日本ユニサンティスエレクトロニクス株式会社 半導体装置の製造方法
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8610240B2 (en) * 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8551855B2 (en) * 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8481396B2 (en) * 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8872247B2 (en) * 2009-11-04 2014-10-28 Micron Technology, Inc. Memory cells having a folded digit line architecture
US8003482B2 (en) 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US8551850B2 (en) * 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8389375B2 (en) * 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US8237146B2 (en) * 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US8039340B2 (en) * 2010-03-09 2011-10-18 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US9202921B2 (en) * 2010-03-30 2015-12-01 Nanya Technology Corp. Semiconductor device and method of making the same
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US8816409B2 (en) * 2010-07-15 2014-08-26 United Microelectronics Corp. Metal-oxide semiconductor transistor
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8435859B2 (en) 2011-02-16 2013-05-07 Micron Technology, Inc. Methods of forming electrical contacts
US8178418B1 (en) * 2011-04-25 2012-05-15 Nanya Technology Corporation Method for fabricating intra-device isolation structure
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9385132B2 (en) 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8759178B2 (en) 2011-11-09 2014-06-24 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US10438836B2 (en) 2011-11-09 2019-10-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing a semiconductor device
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
KR101902486B1 (ko) * 2012-05-16 2018-11-13 삼성전자주식회사 Mos 트랜지스터
US9012981B2 (en) 2012-05-17 2015-04-21 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US8829601B2 (en) 2012-05-17 2014-09-09 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9166043B2 (en) 2012-05-17 2015-10-20 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US8877578B2 (en) 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8697511B2 (en) 2012-05-18 2014-04-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8946050B2 (en) * 2012-10-30 2015-02-03 Globalfoundries Inc. Double trench well formation in SRAM cells
US9018691B2 (en) * 2012-12-27 2015-04-28 Ememory Technology Inc. Nonvolatile memory structure and fabrication method thereof
US20150340368A1 (en) * 2012-12-27 2015-11-26 Ps4 Luxco S.A.R.L. Semiconductor device manufacturing method
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
US9252148B2 (en) * 2014-01-22 2016-02-02 Micron Technology, Inc. Methods and apparatuses with vertical strings of memory cells and support circuitry
US9379010B2 (en) * 2014-01-24 2016-06-28 Intel Corporation Methods for forming interconnect layers having tight pitch interconnect structures
US9281211B2 (en) * 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
WO2015152904A1 (en) * 2014-04-01 2015-10-08 Empire Technology Development Llc Vertical transistor with flashover protection
US9293343B2 (en) * 2014-07-02 2016-03-22 Samsung Electronics Co., Ltd. Method of forming patterns of semiconductor device
US9406750B2 (en) 2014-11-19 2016-08-02 Empire Technology Development Llc Output capacitance reduction in power transistors
US9564500B2 (en) 2015-06-30 2017-02-07 International Business Machines Corporation Fully-depleted SOI MOSFET with U-shaped channel
US9627378B2 (en) 2015-06-30 2017-04-18 International Business Machines Corporation Methods of forming FINFETs with locally thinned channels from fins having in-situ doped epitaxial cladding
CN106711213B (zh) * 2015-07-20 2021-02-26 联华电子股份有限公司 半导体元件及其制作方法
US9524974B1 (en) * 2015-07-22 2016-12-20 Sandisk Technologies Llc Alternating sidewall assisted patterning
US9627478B1 (en) 2015-12-10 2017-04-18 International Business Machines Corporation Integrated vertical nanowire memory
US10002962B2 (en) 2016-04-27 2018-06-19 International Business Machines Corporation Vertical FET structure
US9847337B1 (en) 2016-12-27 2017-12-19 Micron Technology, Inc. Memory arrays comprising ferroelectric capacitors
US9773728B1 (en) * 2016-12-27 2017-09-26 Micron Technology, Inc. Memory arrays
CN107170744B (zh) * 2017-04-28 2019-10-29 中国科学院微电子研究所 一种闪存单元器件及闪存
WO2018208285A1 (en) * 2017-05-09 2018-11-15 Intel Corporation Transistor arrangements with uneven gate-drain surfaces
US10164008B1 (en) * 2017-06-03 2018-12-25 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US10269805B2 (en) * 2017-06-26 2019-04-23 Micron Technology, Inc. Apparatuses having body connection lines coupled with access devices
CN107833889A (zh) * 2017-11-24 2018-03-23 长江存储科技有限责任公司 3d nand闪存的台阶接触孔的构建方法
US20190172920A1 (en) * 2017-12-06 2019-06-06 Nanya Technology Corporation Junctionless transistor device and method for preparing the same
US10439047B2 (en) * 2018-02-14 2019-10-08 Applied Materials, Inc. Methods for etch mask and fin structure formation
CN110349906B (zh) * 2018-04-03 2021-11-09 长鑫存储技术有限公司 一种自对准沟槽的形成方法
CN108520876B (zh) * 2018-06-26 2023-07-11 长鑫存储技术有限公司 集成电路存储器及其制备方法、半导体器件
CN110828460B (zh) * 2018-08-14 2022-07-19 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US10658481B1 (en) 2018-10-29 2020-05-19 International Business Machines Corporation Self-aligned gate cut in direct stacked vertical transport field effect transistor (VTFET)
US10892188B2 (en) * 2019-06-13 2021-01-12 Semiconductor Components Industries, Llc Self-aligned trench MOSFET contacts having widths less than minimum lithography limits
US11257766B1 (en) 2020-08-21 2022-02-22 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, memory devices, and electronic systems
CN113506737B (zh) * 2021-04-20 2023-07-14 芯盟科技有限公司 柱形晶体管及其制造方法、半导体器件及其制造方法
US11749744B2 (en) 2021-06-08 2023-09-05 International Business Machines Corporation Fin structure for vertical transport field effect transistor
CN113611665A (zh) * 2021-07-02 2021-11-05 芯盟科技有限公司 晶体管阵列及其制造方法、半导体器件及其制造方法
CN116133391A (zh) * 2021-09-07 2023-05-16 长鑫存储技术有限公司 半导体结构及其制备方法

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US673030A (en) * 1900-08-30 1901-04-30 Andrew C Rowe Barrel-roller.
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4984039A (en) * 1985-05-03 1991-01-08 Texas Instruments Incorporated Tapered trench structure and process
JPS6245058A (ja) 1985-08-22 1987-02-27 Nec Corp 半導体装置およびその製造方法
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4992838A (en) * 1988-02-29 1991-02-12 Texas Instruments Incorporated Vertical MOS transistor with threshold voltage adjustment
FR2633101B1 (fr) * 1988-06-16 1992-02-07 Commissariat Energie Atomique Photodiode et matrice de photodiodes sur hgcdte et leurs procedes de fabrication
US5012306A (en) 1989-09-22 1991-04-30 Board Of Regents, The University Of Texas System Hot-carrier suppressed sub-micron MISFET device
JPH03155165A (ja) 1989-11-14 1991-07-03 Toshiba Corp 半導体装置およびその製造方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5139753A (en) * 1991-04-08 1992-08-18 Ari Technologies, Inc. Continuous process for mass transfer of a liquid reagent with two different gases
US5208172A (en) 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5315142A (en) * 1992-03-23 1994-05-24 International Business Machines Corporation High performance trench EEPROM cell
US5640034A (en) 1992-05-18 1997-06-17 Texas Instruments Incorporated Top-drain trench based resurf DMOS transistor structure
JPH0677480A (ja) * 1992-08-24 1994-03-18 Hitachi Ltd 半導体装置
JPH06112481A (ja) 1992-09-28 1994-04-22 Yokogawa Electric Corp Mosトランジスタの製造方法
US5319753A (en) * 1992-09-29 1994-06-07 Zilog, Inc. Queued interrupt mechanism with supplementary command/status/message information
JP3311070B2 (ja) * 1993-03-15 2002-08-05 株式会社東芝 半導体装置
JPH06318680A (ja) * 1993-05-10 1994-11-15 Nec Corp 半導体記憶装置およびその製造方法
JP3403231B2 (ja) 1993-05-12 2003-05-06 三菱電機株式会社 半導体装置およびその製造方法
KR970009054B1 (ko) 1993-12-29 1997-06-03 현대전자산업 주식회사 평면구조 모스 트랜지스터 및 그 제조방법
JP3745392B2 (ja) 1994-05-26 2006-02-15 株式会社ルネサステクノロジ 半導体装置
US5405794A (en) * 1994-06-14 1995-04-11 Philips Electronics North America Corporation Method of producing VDMOS device of increased power density
US5583065A (en) * 1994-11-23 1996-12-10 Sony Corporation Method of making a MOS semiconductor device
JP2692639B2 (ja) 1995-03-10 1997-12-17 日本電気株式会社 不揮発性半導体記憶装置の製造方法
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US5679591A (en) * 1996-12-16 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of making raised-bitline contactless trenched flash memory cell
US5874760A (en) 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US5981333A (en) 1997-02-11 1999-11-09 Micron Technology, Inc. Methods of forming capacitors and DRAM arrays
US6214727B1 (en) 1997-02-11 2001-04-10 Micron Technology, Inc. Conductive electrical contacts, capacitors, DRAMs, and integrated circuitry, and methods of forming conductive electrical contacts, capacitors, DRAMs, and integrated circuitry
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
DE59814170D1 (de) * 1997-12-17 2008-04-03 Qimonda Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6246083B1 (en) * 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
JPH11261056A (ja) 1998-03-12 1999-09-24 Toshiba Corp 半導体装置及びその製造方法
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6191444B1 (en) * 1998-09-03 2001-02-20 Micron Technology, Inc. Mini flash process and circuit
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
US6159801A (en) * 1999-04-26 2000-12-12 Taiwan Semiconductor Manufacturing Company Method to increase coupling ratio of source to floating gate in split-gate flash
DE19928781C1 (de) * 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP2001036081A (ja) 1999-07-26 2001-02-09 Fuji Electric Co Ltd 半導体装置
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
JP2003533050A (ja) 2000-05-10 2003-11-05 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体デバイス
KR100370129B1 (ko) * 2000-08-01 2003-01-30 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6391720B1 (en) * 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
JP2002203913A (ja) 2000-12-28 2002-07-19 Hitachi Ltd 半導体記憶装置の製造方法および半導体記憶装置
US6531727B2 (en) 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6424001B1 (en) 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
US6597203B2 (en) 2001-03-14 2003-07-22 Micron Technology, Inc. CMOS gate array with vertical transistors
US7176109B2 (en) * 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US6548347B2 (en) 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6709929B2 (en) 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003031686A (ja) 2001-07-16 2003-01-31 Sony Corp 半導体記憶装置およびその製造方法
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
JP4865166B2 (ja) 2001-08-30 2012-02-01 新電元工業株式会社 トランジスタの製造方法、ダイオードの製造方法
US7045859B2 (en) * 2001-09-05 2006-05-16 International Rectifier Corporation Trench fet with self aligned source and contact
JP4870288B2 (ja) * 2001-09-11 2012-02-08 シャープ株式会社 半導体装置およびその製造方法と集積回路と半導体システム
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2003168749A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 不揮発性半導体記憶装置及びその製造方法
JP2003309192A (ja) 2002-04-17 2003-10-31 Fujitsu Ltd 不揮発性半導体メモリおよびその製造方法
US6806123B2 (en) 2002-04-26 2004-10-19 Micron Technology, Inc. Methods of forming isolation regions associated with semiconductor constructions
US6932870B2 (en) * 2002-05-03 2005-08-23 Kimberly-Clark Worldwide, Inc. System and process for dispensing an adhesive onto a core during the formation of rolled products
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6900521B2 (en) * 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6777725B2 (en) * 2002-06-14 2004-08-17 Ingentix Gmbh & Co. Kg NROM memory circuit with recessed bitline
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US20030235076A1 (en) * 2002-06-21 2003-12-25 Micron Technology, Inc. Multistate NROM having a storage density much greater than 1 Bit per 1F2
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6734063B2 (en) 2002-07-22 2004-05-11 Infineon Technologies Ag Non-volatile memory cell and fabrication method
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6888187B2 (en) * 2002-08-26 2005-05-03 International Business Machines Corporation DRAM cell with enhanced SER immunity
US6804142B2 (en) * 2002-11-12 2004-10-12 Micron Technology, Inc. 6F2 3-transistor DRAM gain cell
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
DE10306281B4 (de) * 2003-02-14 2007-02-15 Infineon Technologies Ag Anordnung und Verfahren zur Herstellung von vertikalen Transistorzellen und transistorgesteuerten Speicherzellen
US6956256B2 (en) * 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
KR100521381B1 (ko) * 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
US7050330B2 (en) * 2003-12-16 2006-05-23 Micron Technology, Inc. Multi-state NROM device
DE10361695B3 (de) 2003-12-30 2005-02-03 Infineon Technologies Ag Transistorstruktur mit gekrümmtem Kanal, Speicherzelle und Speicherzellenfeld für DRAMs sowie Verfahren zur Herstellung eines DRAMs
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
DE102004036461A1 (de) 2004-07-28 2006-02-16 Infineon Technologies Ag Elektronische Datenspeichervorrichtung für hohen Lesestrom
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
JP2011198806A (ja) * 2010-03-17 2011-10-06 Toshiba Corp 半導体記憶装置及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11887845B2 (en) 2017-07-19 2024-01-30 Globalwafers Japan Co., Ltd. Method for producing three-dimensional structure, method for producing vertical transistor, vertical transistor wafer, and vertical transistor substrate

Also Published As

Publication number Publication date
KR20070057223A (ko) 2007-06-04
KR101038870B1 (ko) 2011-06-02
US7772633B2 (en) 2010-08-10
CN101044615A (zh) 2007-09-26
US20100276749A1 (en) 2010-11-04
US20120094449A1 (en) 2012-04-19
US7482229B2 (en) 2009-01-27
US7442976B2 (en) 2008-10-28
JP2008511997A (ja) 2008-04-17
US20130140618A1 (en) 2013-06-06
US8633529B2 (en) 2014-01-21
US20060046407A1 (en) 2006-03-02
US20090096000A1 (en) 2009-04-16
EP1794791B1 (en) 2019-12-18
US8372710B2 (en) 2013-02-12
US20060258109A1 (en) 2006-11-16
US8097910B2 (en) 2012-01-17
WO2006028777A1 (en) 2006-03-16
EP1794791A1 (en) 2007-06-13

Similar Documents

Publication Publication Date Title
JP5176180B2 (ja) 縦型のu字形トランジスタを有するdramセル
US11778807B2 (en) Semiconductor memory device and method of fabricating the same
US9659946B2 (en) Self-aligned source for split-gate non-volatile memory cell
US8039896B2 (en) Semiconductor memory device with vertical channel formed on semiconductor pillars
JP5282888B2 (ja) U型トランジスタおよび関連する製造方法
US20070284623A1 (en) Semiconductor device having vertical channel transistor
KR101073073B1 (ko) 수직게이트를 구비한 반도체장치 및 그 제조 방법
US8558306B2 (en) Semiconductor device and method of manufacturing the same
TWI640064B (zh) 動態隨機存取記憶體及其製造方法
JP2009021503A (ja) 半導体装置およびその製造方法
TWI471947B (zh) 電晶體元件及其製造方法
CN111863727B (zh) 半导体存储器件的制作方法
TWI785508B (zh) 積體電路裝置
TWI565006B (zh) 記憶元件的製造方法
TW202423259A (zh) 半導體記憶體裝置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090731

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090818

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110530

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120507

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121219

R150 Certificate of patent or registration of utility model

Ref document number: 5176180

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250