JP5107331B2 - オブジェクト表面の特徴を求める干渉計 - Google Patents

オブジェクト表面の特徴を求める干渉計 Download PDF

Info

Publication number
JP5107331B2
JP5107331B2 JP2009268962A JP2009268962A JP5107331B2 JP 5107331 B2 JP5107331 B2 JP 5107331B2 JP 2009268962 A JP2009268962 A JP 2009268962A JP 2009268962 A JP2009268962 A JP 2009268962A JP 5107331 B2 JP5107331 B2 JP 5107331B2
Authority
JP
Japan
Prior art keywords
inspection
detector
light
interference
interferometer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009268962A
Other languages
English (en)
Other versions
JP2010101898A (ja
Inventor
レガ、ザビエル コロナ デ
グロート、ピーター デ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zygo Corp
Original Assignee
Zygo Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corp filed Critical Zygo Corp
Publication of JP2010101898A publication Critical patent/JP2010101898A/ja
Application granted granted Critical
Publication of JP5107331B2 publication Critical patent/JP5107331B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/021Interferometers using holographic techniques
    • G01B9/023Interferometers using holographic techniques for contour producing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/2441Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02002Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies
    • G01B9/02004Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies using frequency scans
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02027Two or more interferometric channels or interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02041Interferometers characterised by particular imaging or detection techniques
    • G01B9/02043Imaging of the Fourier or pupil or back focal plane, i.e. angle resolved imaging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02041Interferometers characterised by particular imaging or detection techniques
    • G01B9/02044Imaging in the frequency domain, e.g. by using a spectrometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • G01B9/02074Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer of the detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/45Multiple detectors for detecting interferometer signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Databases & Information Systems (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Description

本発明は干渉法に関する。
米国法典第35編第119条(e)(35 U.S.C§119(e))の規定に基づき、本出願は、「オブジェクト表面の特徴を求める干渉計」と題する2005年1月20日出願の米国仮特許出願第60/645,448号の優先権を主張するものであり、この仮特許出願の内容は本明細書において参照することにより本明細書に組み込まれる。
干渉法は、オブジェクトの表面の形状を測定するために広く使用されている。このような測定を行なうために、干渉計は注目表面によって反射される測定波面を、基準表面によって反射される基準波面と合成してインターフェログラム(interferogram)を生成する。インターフェログラムの干渉縞は、注目表面と基準表面との間の空間変化を表わす。
走査型干渉計は、干渉計の基準脚(reference leg)と測定脚(measurement leg)との間の光路長差(OPD)であって、干渉波面のコヒーレンス長と同程度の距離、またはコヒーレンス長を超える距離に渡る光路長差を掃引して、インターフェログラムを測定するために使用される各カメラ画素の掃引干渉信号を生成する。短いコヒーレンス長を有する光は、例えば白色光源を使用することにより生成することができ、この方法は走査型白色干渉法(SWLI:scanning white light interferometry)と呼ばれる。走査型白色干渉法(SWLI)により得られる代表的な信号は、ゼロ光路差(OPD)位置の近傍に局在化する幾つかの干渉縞である。この信号は通常、釣鐘型干渉縞包絡線による正弦波搬送波変調(「干渉縞」)によって特徴付けられる。走査型白色干渉法(SWLI)の基本になる従来の考え方は、干渉縞の局在化を利用して表面形状を測定することである。
SWLIによる処理技術は2つの大きなトレンドを有する。第1のアプローチでは、包絡線のピークまたは中心の位置を、この位置が、一方のビームがオブジェクト表面によって反射される構成の2ビーム干渉計のゼロ光路差(OPD)に対応すると仮定して特定する。第2のアプローチでは、ほぼ線形の傾斜がオブジェクト位置に直接比例すると仮定する場合に、信号を周波数領域に変換し、そして波長に対する位相の変化割合を計算する。これについては、例えばPeter de Grootによる米国特許第5,398,113号を参照されたい。この後者のアプローチは周波数領域解析(FDA:Frequency Domain Analysis)と呼ばれる。
走査干渉法を使用して、薄膜(群)のような複雑な表面構造、異質材料から成る不連続構造、または干渉顕微鏡の光分解能では分解できない不連続構造を有するオブジェクトの表面形状及び/又は他の特徴を測定することができる。このような測定は、フラットパネルディスプレイ部品の特徴測定、半導体ウェハ測定、及びin−situ薄膜及び異質材料解析に関連する。これについては、例えばPeter de Grootらによる「走査干渉法を使用した複雑な表面構造の形状測定」と題する、2004年9月30日公開の米国特許公開第2004−0189999−A1号、及びPeter de Grootによる「偏光解析、反射率測定、及び光波散乱計測を用いて薄膜構造の特徴測定を行なう干渉法」と題する、2004年5月6日公開の米国特許公開第2004−0085544−A1号を参照されたく、これらの文献の内容は本明細書において参照することにより本明細書に組み込まれる。
オブジェクトに関する情報を光学的に求める他の技術として、偏光解析法及び反射率測定法を挙げることができる。偏光解析法では、表面の複素反射率を、表面を或る傾斜角、例えば60°で、或る場合には可変の角度で照射する、または複数の波長で照射して求める。従来のエリプソメーター(偏光解析器)で容易に達成されている分解能よりも高い分解能を達成するために、マイクロエリプソメーターは、瞳面とも呼ばれる、対物レンズの後方焦点面における位相及び/又は強度分布を測定し、この場合、種々の照射角が視野位置にマッピングされる。このような機器は、直交偏光板及びBertrandレンズを用いて瞳面に位置する複屈折材料を解析するための、歴史的に結晶学及び鉱物学に関連して利用されてきた従来の偏光顕微鏡または「コノスコープ(conoscope)」の最新版である。
薄膜の特徴測定に使用される従来の技術(偏光解析法及び反射率測定法)は、未知の光学界面の複素反射率が、当該界面の固有の特徴(個々の層の材料特性及び膜厚)、及び波長、入射角、及び偏光状態という、反射率を測定するために使用される光の3つの特性の両方に依存するという事実を利用している。実際、特徴測定器は、これらのパラメータを既知の範囲で変化させることにより生じる反射率変化を記録する。従って、最小二乗フィッティングのような最適化手法を使用して、未知パラメータの推定値を、測定反射率データと光学構造のモデルから導出される反射率関数との差を最小にすることにより取得する。
少なくとも一つの実施形態において、検査表面からの角度分解された干渉信号を或る範囲の波長に渡って生成する干渉法及び装置が開示される。各波長に関する情報は、数学的に、またはハードウェアで抽出することができる。更に、検査表面からの角度分解された干渉信号を取得する光学ハードウェアは、干渉法による従来の表面形状測定(interferometric surface profiling)のような他の表面特性測定作業に有用な光学ハードウェアと同じ意味に使用される。従って、或る範囲の角度、波長、及び偏光状態に渡る検査表面の複素反射率情報を提供する偏光解析モード、及び或る範囲の検査表面位置に渡る検査表面に関する情報を提供する形状測定モード(profiling mode)で動作することができる干渉計システムが開示される。
次に、本発明の種々の態様及び特徴について要約する。
概括すると、一の態様では、システムは、(i)検査電磁光線を検査表面に、かつ基準電磁光線を基準表面に振り向け、次にこれらの電磁光線を合成して干渉パターンを形成するように構成される干渉計であって、電磁光線が共通光源から放出される構成の干渉計と、(ii)マルチエレメント検出器と、(iii)干渉パターンを検出器に結像させて検出器の異なる要素が、検査表面を照射する検査電磁光線の異なる照射角に対応するように構成される一つ以上の光学系と、を備える。
システムの実施形態は次の特徴の内のいずれかの特徴を含むことができる。
干渉計は瞳面を有する干渉対物レンズを含む。更に、一つ以上の光学系は瞳面の干渉パターンをマルチエレメント検出器に結像させる。更に、干渉計は、共通光源から放出されるEM電磁光線を干渉対物レンズの瞳面に結像させるように構成される。例えば、干渉対物レンズはミラウ(Mirau)型対物レンズ、またはマイケルソン型干渉対物レンズ(Michelson objective)である。
一つ以上の光学系は、検査表面を検出器に結像させる、または干渉パターンを検出器に結像させるように選択的に調整されて、検出器の異なる要素が、検査表面を照射する検査電磁光線の異なる照射角に対応するように構成される。別の表現をすると、システムの検出器は、検査表面の光学プロファイルを記録する動作と、検査表面に関する角度分解された干渉データを記録する動作との間の切り替えを行なうことができる。
或る実施形態では、検査EM光線は検査表面によって反射することができる。別の構成として、他の実施形態では、検査EM光線は検査表面を透過することができる。
干渉計は、検査EM光線及び基準EM光線が光干渉パターンを形成するときに検査EM光線及び基準EM光線の相対光路長を調整する走査ステージを含む。
システムは更に共通光源を備える。例えば、共通光源は広帯域光源である。干渉計は更に、検査EM光線及び基準EM光線が光干渉パターンを形成するときに検査EM光線及び基準EM光線の相対光路長を調整する走査ステージを含み、かつ走査ステージは光路長を共通光源のコヒーレンス長よりも長い距離に渡って変化させるように構成される。
干渉計は更に一つ以上の偏光素子を含み、偏光素子は、検出器が測定する干渉パターンの偏光成分を調整可能に制御する位置に設けられる。
システムは更に、検出器に接続される電子プロセッサを備え、電子プロセッサは、干渉パターンに関する情報を解析して検査表面を有する検査オブジェクトに関する角度分解された情報を求めるように構成される。例えば、検査オブジェクトは、検査表面に隣接する少なくとも一つの層を有する。
概括すると、別の態様では装置が開示され、当該装置は、(i)検査光を検査表面に振り向け、次に検査光を基準光と合成して干渉パターンを形成するように構成される干渉計であって、検査光及び基準光が共通光源から放出される構成の干渉計と、(ii)電子検出器と、(iii)合成光の少なくとも一部分を検出器に振り向けて、検出器の異なる領域が、検査表面を照射する検査光の異なる照射角に対応するように構成される一つ以上の光学系と、を備える。
本装置の実施形態は次の特徴の内のいずれかの特徴を含むことができる。
干渉計は、共通光源から放出される入力光を検査光及び基準光に分離するように構成されるビームスプリッタと、検査光と合成される前に基準光を反射する位置に設けられる基準表面と、を含む。検査光は検査表面によって反射されるように構成され、そして干渉計のビームスプリッタは検査光及び基準光を、これらの光が該当する検査表面及び基準表面によって反射された後に再合成する位置に設けられる。
干渉計は更に、検査光を検査表面に集光させるレンズを含む。レンズによって瞳面が決まり、そして一つ以上の光学系は瞳面を検出器に結像させる。共通光源は空間的に拡がり、そして入力光は共通光源から瞳面に結像される。視野絞りは、視野絞りによって検査表面上での検査光の空間的拡がりが決まる位置に設けることができる。
干渉計は瞳面を有する干渉対物レンズを含むことができる。例えば、干渉対物レンズはミラウ型対物レンズとする、またはマイケルソン型干渉対物レンズとすることができる。一つ以上の光学系は瞳面の干渉パターンをマルチエレメント検出器に結像させることができる。
検出器は、異なる検出器要素が、検査表面を照射する検査光の異なる照射角に対応する構成の複数の検出器要素を含むことができる。
共通光源から放出される検査光及び基準光は電磁スペクトルの可視部分の光とすることができる。別の構成として、検査光及び基準光は、電磁スペクトルの紫外線部分の光、近赤外線部分の光、または赤外線部分の光とすることができる。
検査光は検査表面によって反射されるように構成される。別の構成として、或る実施形態では、検査光は検査表面を透過するように構成される。
本装置は更に並進ステージを含むことができ、並進ステージは、検査光及び基準光が干渉パターンを形成するときに検査光及び基準光の相対光路長を調整するように構成される。例えば、本装置は更に、検査表面を有する検査オブジェクトを支持するベースを含むことができ、そして並進ステージは、干渉計の少なくとも一部分(干渉計の干渉対物レンズのような)をベースに対して移動させるように構成することができる。
本装置は更に共通光源を含むことができる。並進ステージは光路長を共通光源のコヒーレンス長よりも長い距離に渡って変化させるように構成することができる。共通光源は、半値幅50nm超(または、100nm超、或いは200nm超)の帯域の光を放出する広帯域波長光源とすることができる。
或る実施形態では、共通光源は可変波長光源とすることができる。例えば、本装置は更に電子プロセッサを含むことができ、電子プロセッサは、検出器及び可変波長光源に接続され、かつ可変波長光源の異なる波長設定に関して検出器が記録する画像を処理するように構成される。このような場合においては、干渉計は、基準光を反射する位置に設けられる基準表面を含むことができ、基準表面は更に、非ゼロ光路長差が検査光によって干渉パターンに現われる位置に設けられる。
干渉計は更に、検出器が測定する干渉パターンの偏光成分を調整する位置に設けられる一つ以上の偏光素子を含むことができる。例えば、一つ以上の偏光素子は、入力光を共通光源から受信する位置に設けられる第1偏光板を含むことができ、共通光源から検査光及び基準光が放出される。一の場合では、例えば一つ以上の偏光素子は更に、合成光を、合成光が検出器に到達する前に受信する位置に設けられる第2偏光板を含むことができる。別の場合では、例えば第1偏光板は更に、合成光を、合成光が検出器に到達する前に受信する位置に設けられる。更に、一つ以上の偏光素子は、入力光を共通光源から受信し、かつ合成光を、合成光が検出器に到達する前に受信する位置に設けられる1/4波長板を含むことができ、共通光源から検査光及び基準光が放出される。
干渉計は、検査光を検査表面に集光させるレンズを含むことができ、そして一つ以上の偏光素子は、検査光を、検査光がレンズによって集光される前に直線偏光させる位置に設けられる第1偏光板を含むことができる。例えば、第1偏光板によって検査光は、偏光の方位がs偏光光の偏光方位とp偏光光の偏光方位との間で変化する偏光を検査表面で持つようになる。第1偏光板は、第1偏光板によって更に合成光が検出器の位置で直線偏光される位置に設けることができる。別の構成として、一つ以上の光学系は更に、合成光を検出器の位置で直線偏光させる位置に設けられる第2偏光板を含むことができる。例えば、第1及び第2偏光板は同じ向きを持つことができる。
検出器上の異なる位置は、検出器の位置でのs偏光光及びp偏光光のフレネル反射係数の異なる組み合わせに対応することができる。s偏光反射率及びp偏光反射率の相対合成反射率は、検出器上での方位角座標によって変わり、そしてフレネル係数の角度依存性は半径座標によって変わる。
干渉計は、入力光を共通光源から第1方向に沿って受信し、そして合成光を検出器に第1方向とは異なる第2方向に沿って振り向ける位置に設けられるビームスプリッタを含むことができる。
本装置は更に、検出器に接続される電子プロセッサを含むことができ、電子プロセッサは、検出器が測定する情報を処理して検査表面を有する検査オブジェクトに関する情報を求めるように構成される。例えば、検査オブジェクトは一つ以上の層を基板上に含むことができる。或る実施形態では、電子プロセッサは、検査表面に関する角度分解された反射率情報を検出器測定値から抽出し、そして検査オブジェクトに関する情報を角度分解された情報に基づいて求めることができる。例えば、情報は、検査オブジェクトの一部分に関する屈折率推定値、及び/又は検査オブジェクトの層に関する膜厚推定値を含むことができる。電子プロセッサは、検査オブジェクトに関する情報を、検出器が測定する情報に基づくデータと、検査オブジェクトに関するモデルとの比較を行なうことにより求めるように構成することができる。例えば、モデルは測定情報に関する推定値を、検査オブジェクトに関する一つ以上のパラメータの関数として提供し、そして比較を行なうことによって一つ以上のパラメータの値を選択して、測定情報とモデルが提供する推定値との間の適合度を最適化する。
本装置は更に、検査光及び基準光が干渉パターンを形成するときに検査光及び基準光の相対光路長を調整するように構成される並進ステージを含むことができ、電子プロセッサは、検出器全体に渡る複数位置の各々で測定され、かつ並進ステージを走査することにより生成される干渉強度信号を解析するように構成される。更に、電子プロセッサは、検出器の異なる領域と、検査表面を照射する検査光の異なる照射角との対応関係を、検出器上の異なる位置での強度信号の周波数に基づいて求めるように構成することができる。
更に、電子プロセッサは、検査表面に関する角度分解され、かつ波長分解された情報を、検出器全体に渡って測定される強度信号に基づいて抽出するように構成することができる。例えば、電子プロセッサは、検出器の異なる位置での干渉信号を周波数領域に変換して、角度分解され、かつ波長分解された情報を抽出するように構成することができる。
干渉計は、検出器が測定する干渉パターンの偏光成分を調整する位置に設けられる一つ以上の偏光素子を含むことができる。その結果、電子プロセッサは、検査表面に関する角度分解され、波長分解され、かつ偏光分解された情報を、検出器全体に渡って測定される強度信号に基づいて抽出するように構成することができる。例えば、角度分解され、波長分解され、かつ偏光分解された情報は、検査表面に関する複素反射率情報とすることができる。
電子プロセッサは、複数の干渉強度信号の各々のサブセットを選択して、複数のサブセットが検査オブジェクトの一つの選択界面に対応するようにし、そして干渉強度信号のサブセット群を処理して、選択界面に関連する検査オブジェクトに関する情報を求めるように構成することができる。
電子プロセッサは干渉計の光学特性に関する校正情報を保存し、そして校正情報及び検出器が測定する情報を使用して検査オブジェクトに関する情報を求めることができる。
本装置は、第1動作モードと第2動作モードとの間の切り替えを調整することができるように構成することができ、第1動作モードでは、合成光を検出器に振り向けて検出器の異なる領域が、検査表面を照射する検査光の異なる照射角に対応するようにし、そして第2動作モードでは、検出器の異なる領域が検査光によって照射される検査表面の異なる領域に対応することによって形状測定動作モードが有効になる。
例えば、本装置は更に、一つ以上の光学系に対する検出器の位置を調整して第1動作モードと第2動作モードとの間の切り替えを行なうように構成されるステージを含むことができる。本装置は更に電子コントローラを含むことができ、電子コントローラは、検出器ステージに接続され、かつステージを調整して第1動作モードと第2動作モードとの間で切り替えさせることができるように構成される。
別の例では、一つ以上の光学系は第1動作モードで動作する第1セットの一つ以上の光学系と、第2動作モードで動作する第2セットの一つ以上の光学系と、を含むことができる。本装置はマルチポジション光学系ホルダーを含むことができ、マルチポジション光学系ホルダーは、第1及び第2セットの光学系を支持し、かつ第1及び第2セットの内の一方の位置を調整し、第1及び第2セットの内の他方は調整せずに、第1及び第2セットの内の一方を、検出器に振り向けられる合成光の光路に位置させることにより、第1モードと第2モードとの間の切り替えを行なうように構成される。マルチポジションレンズホルダーはモータ駆動することができ、そして本装置は更に電子コントローラを含むことができ、電子コントローラは、モータ駆動マルチポジション光学系ホルダーに接続されてマルチポジション光学系ホルダーに選択的に指示して第1動作モードと第2動作モードとの間の切り替えを行なわせる。
更に別の例では、本装置は更に、第2セットの一つ以上の光学系と、合成光の第1部分を第1セットの光学系に振り向け、そして合成光の第2部分を第2セットの光学系に振り向ける位置に設けられるビームスプリッタと、第2電子検出器と、を含むことができる。第2セットの光学系は、合成光の第2部分を第2電子検出器に振り向けて、第2検出器の異なる領域が、検査光によって照射される検査表面の異なる領域に対応するようになるように構成される。
本装置は更に、検出器に接続され、かつ検出器が各動作モードで測定する情報を処理して検査表面を有する検査オブジェクトに関する情報を求めるように構成される電子プロセッサを含むことができる。電子プロセッサは、一つの動作モードで生成される情報を使用して、他の動作モードを使用するときに検査オブジェクトに関する更に別の情報を求め易くするように構成される。
例えば、第1モードで生成される情報は検査オブジェクトの一部分の屈折率に対応し、そして電子プロセッサは、反射時の位相変化(PCOR:phase change on reflection)を屈折率に基づいて求めて、第2モードで動作するときに形状情報を求め易くするように構成することができる。別の例では、第2モードで生成される情報は検査表面の表面粗さの推定値に対応し、そして電子プロセッサは、表面粗さ推定値を使用して、検出器が第1モードで測定する情報を処理することにより検査オブジェクトに関する情報を求めるように構成することができる。
干渉計は、少なくとも一つの干渉対物レンズを含む複数の対物レンズを支持し、かつ選択対物レンズを、共通光源からの入力光の光路に位置させるように構成されるマルチポジションマウント(例えば、タレット)を含むことができる。マルチポジションマウントはモータ駆動することができ、そして本装置は更に電子コントローラを含むことができ、電子コントローラは、マルチポジションマウントに接続されてマウントに選択的に指示して複数の対物レンズの間の切り替えを行なわせる。例えば、複数の対物レンズは2つの異なる干渉対物レンズを含むことができ、これらの干渉対物レンズの内の一つの干渉対物レンズのみが偏光光学系を含む。複数の対物レンズは更に、干渉対物レンズ以外の対物レンズである一つ以上の非干渉対物レンズを含むことができ、これらの非干渉対物レンズの各々は、入力光の光路に配置されると、当該非干渉対物レンズによって装置は非干渉型顕微鏡モードで動作することができる。
概括すると、別の態様では干渉法が開示され、この干渉法は、(i)検査光を検査表面に或る範囲の照射角に渡って振り向けるステップと、(ii)続いて、共通光源から放出される検査光を共通光源から放出される基準光と合成して干渉パターンを形成するステップと、(iii)合成光の少なくとも一部分をマルチエレメント検出器に振り向けて、検出器の異なる要素が、検査表面を照射する検査光の異なる照射角に対応するようにするステップと、を含む。
本干渉法の実施形態は次の特徴の内のいずれかの特徴を含むことができる。
合成光は、検査光の瞳面を検出器に結像させることにより検出器に振り向けることができる。
本干渉法は更に、干渉信号を検出器の複数の要素の各々の位置で、検出光と基準光との間の変化する光路長差の関数として測定するステップを含む。
検査光、基準光、及び合成光は選択的に偏光させることができる。
本干渉法は更に、検査表面を有する検査オブジェクトに関する情報を、異なる検出器要素の位置で測定される信号に基づいて求めるステップを含む。例えば、検査オブジェクトに関する情報を求めるステップでは、検査表面の反射率を、異なる照射角及び波長に関して求めることができる。反射率は、選択偏光状態における異なる照射角及び波長に関する複素反射率とすることができる。検査オブジェクトに関する情報を求めるステップでは更に、測定反射率を反射率の推定値と、検査オブジェクトのモデルに基づいて比較することができる。
校正ステップの一部分として、本干渉法は更に、検査表面を照射する検査光の異なる照射角と、検出器の異なる要素との関係を、異なる検出器要素の位置での干渉信号の周波数に基づいて求めるステップを含む。また、本法は更に、検出器上の合成光の光軸の位置を、異なる検出器要素の位置での干渉信号の周波数に基づいて求めるステップを含む。また、本法は更に、光路長差が変化する度合いを、異なる検出器要素の位置での干渉信号の周波数に基づいて求めるステップを含む。
別の校正ステップの一部分として、検査表面は既知の反射特性を有し、そして本干渉法は更に、検査光を振り向け、そして検査光を基準光と合成するために使用される干渉計の反射パラメータを、異なる検出器要素の位置で測定される信号、及び検査表面の既知の反射パラメータに基づいて校正するステップを含む。本法は更に、これらのステップを既知の反射特性を有する第2の検査表面に対して繰り返し、更に干渉計の反射パラメータを、異なる検出器要素の位置で測定される信号、及び検査表面の既知の反射パラメータに基づいて校正するステップを含む。
本干渉法は更に、上に説明した装置に関連して列挙した特徴に対応する特徴を含むことができる。
概括すると、別の態様では装置が開示され、この装置は、検査表面の反射率を或る範囲の角度及び波長に渡って測定する第1モード、及び検査表面の一つ以上の特性を、或る範囲の検査表面位置に渡って測定する第2モードの各モードで動作するように構成される干渉計システムを備える。
本装置の実施形態は次の特徴の内のいずれかの特徴を含むことができる。
本装置は、第1モードと第2モードとの間の切り替えを選択的に行なうように構成することができる。別の構成として、本装置は、測定を両方のモードで同時に行なうように構成することができる。
干渉計は少なくとも一つの電子検出器を含むことができ、そして第1モードでは、検出器の異なる要素は、干渉計システムにおいて検査表面を照射する検査光の異なる照射角に対応する。第1モードは、検査表面の反射率を或る範囲の角度及び波長に渡って一つ以上の選択偏光状態に関して測定する偏光解析モードに対応することができる。別の構成として、第1モードは、検査表面の反射率を或る範囲の角度及び波長に渡って非偏光光に関して測定する反射率測定モードに対応することができる。第1モードで動作するために、干渉計は検査表面に振り向けられる検査光の瞳面を検出器に結像させることができる。
第2モードでは、検出器の異なる要素は、干渉計システムにおいて検査光によって照射される検査表面の異なる位置に対応する。詳細には、干渉計は検査表面を検出器に結像させるように構成される。第2モードは形状測定モードとすることができる。
本装置は更に電子プロセッサを含むことができ、電子プロセッサは、干渉計システムに接続され、かつ干渉計システムが各動作モードで測定する情報を処理して検査表面を有する検査オブジェクトに関する情報を求めるように構成される。更に、電子プロセッサは、一つの動作モードで生成される情報を使用して、他の動作モードを使用するときに検査オブジェクトに関する更に別の情報を求め易くするように構成することができる。
干渉計システムは更に、非干渉型顕微鏡モードで選択的に動作して、検査表面の非干渉光学画像を測定するように構成することができる。
概括すると、別の態様では方法が開示され、この方法は、(i)干渉計システムを使用して検査表面を、検査表面の反射率を或る範囲の角度及び波長に渡って測定する第1動作モードで測定するステップと、(ii)同じ干渉計システムを使用して検査表面を、検査表面の形状の特徴を干渉法により測定する第2動作モードで測定するステップと、を含む。
本方法は更に、同じ干渉計システムを使用して検査表面を、検査表面の一つ以上の非干渉顕微鏡画像を生成する第3動作モードで測定するステップを含む。
本明細書において使用するように、「光(light)」という用語は、可視スペクトル領域の電磁光線に制限されず、紫外線領域、近赤外線領域、または赤外線領域のいずれかの領域の電磁光線を広く指すことができる。
特に断らない限り、本明細書において使用する全ての技術用語及び科学用語は、本発明の属する技術分野の当業者が一般的に理解するものと同じ意味を有する。参照することにより本明細書に組み込まれる文書の内容と相容れない事態が発生する場合には必ず、本開示が優先する。
本発明の他の特徴、目的、及び利点は次の詳細な記述から明らかになると思われる。
図面において、異なる図における同様の参照番号は共通の構成要素を指す。
偏光解析モードで動作するように構成される干渉計システム100の模式図である。 OPDがフレーム番号によって表わされる場合に、干渉計システム100において検査光と基準光との間の光路長差(OPD)を変化させた時の一つの検出器要素によって測定される干渉信号の例を示すグラフである。 形状測定モードで動作するように再構成される干渉計システム100の模式図である。 シリコン基板上の1ミクロン膜厚の二酸化シリコン膜を有する検査オブジェクトに関して、検出器要素が収集するデータのプロットを示す。左側のプロットは、OPDを掃引している間に検出器要素が測定する干渉信号をフレーム番号の関数として示す。右側のプロットは、干渉信号を波数に関してフーリエ変換した結果を示し、スペクトル振幅は実線で示され、そしてスペクトル位相は点線で示される。 シリコン基板上の675nm膜厚の二酸化シリコン単一層膜に関して、選択入射角(43度)及び選択波長(576nm)の場合に実験的に求められる複素反射率のプロットを示す。左側のプロットはスペクトル成分Z(上側の曲線は実部であり、下側の曲線は虚部である)を示し、そして右側のプロットは、Zをシステム校正に従ってスケーリングしたzの該当する値(上側の曲線は実部であり、下側の曲線は虚部である)を示す。 シリコン上の5ミクロン膜厚の二酸化シリコン膜に関する干渉信号のプロットを示して、信号の一部分をどのようにして選択して構造の選択界面を分離することができるかについて示している。 干渉計システム100の別の実施形態の模式図である。 干渉計システム100の更に別の実施形態の模式図である。 干渉計システム100の模式図であり、種々の部品をどのようにして電子プロセッサによる制御の下に自動的に調整することができるかについて示している。
薄膜の特徴測定に使用される従来の技術(例えば、偏光解析法)は、未知の光学界面の複素反射率が、当該界面の固有の特徴(個々の層の材料特性及び膜厚)、及び波長、入射角、及び偏光状態という、反射率を測定するために使用される光の3つの特性の両方に依存するという事実を利用している。実際、偏光解析器は、これらのパラメータを既知の範囲で変化させることにより生じる反射率変化を記録する。従って、最小二乗フィッティングのような最適化手法を使用して、未知パラメータの推定値を、測定反射率データと光学構造のモデルから導出される反射率関数との差を最小にすることにより取得する。
本明細書に開示する実施形態は、検査表面の選択領域に関して探査ビームが示す3つの全ての光学特性(すなわち、波長、入射角、及び偏光状態)に関して、非常に多くの反射率データポイントを広い範囲に渡って迅速に収集する干渉計システム及び干渉法を提供する。更に、同じ計測器がこの偏光解析動作モード(ellipsometry mode of operation)から形状測定モード(profiling mode)に切り替わって検査表面に関する横方向に分解された情報を提供することができる。更に、偏光解析モードで求めた情報を使用して、形状測定モードで取得した情報の精度を高めることができる。例えば、偏光解析モードは、検査表面を有する検査オブジェクトの材料特性に関する情報を提供して、種々の光学界面、例えば特に注目する上部表面(空気界面)の更に精度の高い形状マップを生成することができる。
装置例
図1は干渉計システム100の模式図である。拡張光源102は入力光104を干渉対物レンズ106に、中継光学系108及び110、及びビームスプリッタ112を通して振り向ける。中継光学系108及び110は、拡張光源102からの入力光104を開口絞り115、及び干渉対物レンズ106の該当する瞳面114に結像させる(点線の両側光線116及び実線の中心光線117で示すように)。
図1の実施形態では、干渉対物レンズ106は、対物レンズ118、ビームスプリッタ120、及び基準表面122を含むミラウ型(Mirau)対物レンズである。ビームスプリッタ120は入力光104を検査光122及び基準光128に分離し、検査光122は検査オブジェクト126の検査表面124に振り向けられ、基準光128は基準表面122によって反射される。対物レンズ118は検査光及び基準光をそれぞれ検査表面及び基準表面に集光する。基準表面122を支持する基準光学系130をコーティングして集光基準光のみを反射し、入力光のほとんどが、ビームスプリッタ120による分離の前に基準光学系を通過するようにする。
検査表面及び基準表面による反射の後、検査光及び基準光をビームスプリッタ120によって再合成して合成光132を生成し、合成光はビームスプリッタ112及び中継レンズ136を透過して光干渉パターンを電子検出器134(例えば、マルチエレメントCCDまたはCMOS検出器)に生成する。検出器全体に生成される光干渉パターンの強度分布は検出器の異なる要素によって測定され、そして電子プロセッサ(図示せず)に保存されて解析される。検査表面を検出器に結像させる従来の形状測定干渉計とは異なり、本実施形態では、中継レンズ136(例えば、バートランドレンズ)が瞳面114上の異なるポイントを検出器134上の該当するポイントに結像させる(ここでも同じように、点線の両側光線116及び実線の中心光線117によって示される)。
瞳面114を照射する各点光源によって、検査表面124を照射する検査光122の平面波面が生成されるので、瞳面114における点光源の半径方向位置によって、オブジェクト法線に対するこの照射光束の入射角が決まる。従って、光軸から所定距離に位置する全ての点光源は一定の入射角に対応し、この入射角で、対物レンズ118は検査光122を検査表面124に集光する。中継光学系108と110との間に位置する視野絞り138によって、検査光122によって照射される検査表面124の領域が決まる。検査表面及び基準表面によって反射された後、合成光132は光源の2次像を対物レンズの瞳面114に形成する。次に、瞳面上の合成光が中継レンズ136によって検出器134に再結像するので、検出器134の異なる要素は検査表面124への検査光122の異なる照射角に対応する。
偏光素子140,142,144,及び146によって、該当する検査表面及び基準表面に振り向けられる検査光及び基準光の偏光状態が決まり、更に検出器に振り向けられる合成光の偏光状態が決まる。実施形態によって変わるが、各偏光素子は偏光板(例えば、直線偏光板)、位相差板(1/2波長板または1/4波長板)、または入射ビームの偏光状態に影響する同様の光学系とすることができる。更に、或る実施形態では、これらの偏光素子の内の一つ以上を設けないことができる。更に、実施形態によって変わるが、ビームスプリッタ112は偏光ビームスプリッタまたは非偏光ビームスプリッタとすることができる。これらの偏光素子の種々の実施形態の詳細については以下に更に説明する。一般的に、偏光素子140,142,及び/又は146が設けられるので、検査表面124での検査光122の偏光状態は、瞳面114における光の方位角位置によって変わり得る。
ここに説明している実施形態では、光源102は広帯域波長(例えば、半値幅が50nm超の帯域の、または好適には半値幅が100nm超の帯域の放出スペクトル分布を有する)の照射光を放出する。例えば、光源102は白色発光ダイオード(LED)、ハロゲンバルブのフィラメント、キセノンアークランプのようなアークランプ、または光学材料の非線形効果を利用して非常に広い光源スペクトル幅(>200nm)の光を生成する所謂スーパーコンティニウム光源(Supercontinuum Source)とすることができる。広帯域の波長は短いコヒーレンス長に対応する。従来の走査型干渉計におけるように、並進ステージ150によって検査光及び基準光の相対光路長を調整して光干渉信号を検出器要素の各々で生成する。例えば、図1の実施形態では、並進ステージ150は、干渉対物レンズ106に接続されて、検査表面と干渉対物レンズとの間の距離を調整し、これによって検出器における検査光及び基準光の相対光路長を変化させる圧電変換器である。
図2は、並進ステージ150によって検査光及び基準光の相対光路長を変化させた時の検出器要素の内の一つの要素によって測定される例示としての干渉信号を示している。干渉信号は、コントラスト感度を表わし、かつ光源のコヒーレンス長に対応する包絡線で変調される。基準表面は干渉計の中に位置するので、検査光と基準光との間のゼロ光路長差は、検査表面が対物レンズ118の焦点に位置する状態に対応する。従って、最大コントラストは通常、検査表面が干渉対物レンズの焦点位置に位置するときに観察される。測定は、並進ステージをコヒーレンス長よりも長い距離に渡って走査させて、コントラスト感度を表わす包絡線が、検出器で測定される一連の強度パターンに現われるようにすることにより行なわれる。
各検出器要素で測定される干渉信号は電子プロセッサが解析し、電子プロセッサは検出器134及び並進ステージ150の両方に電気的に接続される。ここに説明する実施形態では、電子プロセッサは干渉信号を周波数領域に、例えばフーリエ変換を使用することにより変換して、光源の異なる波長成分に関する位相情報及び振幅情報を抽出する。光源スペクトルが広がって、多数の個別のスペクトル成分をこの手順により計算することができるようになることが好ましい。以下に更に詳細に説明するように、振幅データ及び位相データは検査表面の複素反射率を直接表わし、複素反射率を解析して検査オブジェクトに関する情報を求めることができる。一般的に、電子プロセッサは別の校正による情報を使用して、基準ミラーの反射率、及び干渉計の他の光学特性に関する測定値を補正する。干渉計システム100がこの構成を有するので、電子検出器134の各検出器要素によって、光源102が出力する多数の波長における、特定の入射角及び偏光状態(偏光素子140,142,144,及び/又は146の向きに従った)に関する反射率測定値が供給される。従って、検出器要素の集合体は或る範囲の入射角、偏光状態、及び波長をカバーし、これらをカバーすることによって、計測器が未知の光学構造の特徴を正しく測定する機能を最大化する。
多数の校正手順を使用して検査表面の複素反射率を測定干渉信号に基づいて求めることができる。例えば、校正測定は、既知のバルク材料(不透明または透明)により検査オブジェクトとして作製されるミラーを使用して行なうことができ、そしてスペクトルフィルタを使用して選択波長の光を光源から切り出すことができる。従って、検出器で測定される干渉信号を処理して、各検出器要素に対応する入射角、及びデータ取得に使用される走査ステージの速度を求めることができる。後者の情報は、干渉信号スペクトル成分を、これらの成分の該当する波長に正しく一致させるために有用である。既知の光学特性を持つオブジェクトを使用する更に別の測定を行なって、干渉計及び撮像システムの特性を画素ごとに求めることもできる。例えば、校正は、各検出器位置における各波長に関するシステムの透過率を計算するステップを含むことができる。同様に、直交偏光状態の間に生じる位相ずれのような偏光効果を各検出器要素、及び各波長に関して必要に応じて測定することもできる。校正の所定の実施形態についての特定の詳細について以下に更に説明する。
干渉計システム100を、検査表面の複素反射率を求める偏光解析モードから、例えば検査表面の形状を求める形状測定モードに切り替えるためには、撮像システムの特性を変更して、光源の像ではなく、部品の像の焦点が検出器に合うようにするだけで十分である。図3に示すように、この操作は、例えば中継レンズ136の替わりに別のレンズ236を使用し、そして検出器位置をそのままにしておくことにより行なうことができる。この場合、光源102からの入力光は瞳面114に結像し続けるが、124上のポイントが検出器134上の該当するポイントに結像する(光源からの両側光線216及び中心光線217で示すように)。
測定モデル
干渉計システム100によって取得される干渉信号の解析を行なうために、偏光素子140及び144が直線偏光板であり、偏光素子142及び146を設けず、かつビームスプリッタ112が非偏光ビームスプリッタである構成の実施形態について考察する。直線偏光板140の機能は、同じ直線偏光状態を瞳面114の全てのポイントで生じさせることである。その結果、検査表面124に入射する光の偏光は直線偏光であるが、入射平面に対する偏光の向きは、瞳面での点光源の方位角位置によって変わる。例えば、瞳面における直線偏光の方向に平行な瞳直径に沿って位置する点光源は、検査表面での入射平面内で直線偏光される(この状態をP偏光状態と呼ぶ)照射光を生成する。同様に、瞳面における直線偏光の方向に直交する直径に沿って位置する点光源は、入射平面に直交して直線偏光される(この状態をS偏光状態と呼ぶ)照射光を生成する。これらの直径に沿って位置しない点光源は、P偏光状態及びS偏光状態が混在する照射光を検査表面に生成する。この原理は、検査表面の反射係数はP偏光光及びS偏光光に対して異なるので、重要となる。
2つの直線偏光板は多数の相対方位を有することができ、これらの相対方位は検出器が検出する干渉信号に含まれる情報を決定することになる。例えば、偏光板が平行である場合、測定干渉信号は、瞳面の一つの直径に関しては、検査表面に入射するS偏光検査光によってのみ変わり、かつ瞳面の直交直径に関しては、検査表面に入射するP偏光検査光によってのみ変わることになる(更に、基準表面に入射する基準光に関しても同様に説明される)。この構成は、S反射率の振幅及び位相と、P反射率の振幅及び位相との差が偏光解析法の基本データとなるので魅力的である。従って、必要に応じて、データの簡易処理をこれらの2つの直径に限定して行なうことができる。これとは異なり、瞳面全体に渡るデータを使用するためには、2つの偏光状態が混在する状態を考慮に入れる必要があるが、瞳面全体に渡るデータを使用することにより、相対的に多くのデータポイントが提供されるので、測定の分解能が高くなる。
次の解析は、互いに平行になるように向きを揃えた2つの直線偏光板を含む構造に適用される。この場合、第2直線偏光板(偏光素子144)を透過して検出器134に到達する検査光の量は次式のように表わすことができる。
Figure 0005107331
上式では、θは偏光板の方向に関して測定される方位角であり、rp及びrsはP偏光状態及びS偏光状態に関するオブジェクト表面の複素反射率(「フレネル反射係数」として知られる)であり、tp及びtsは、干渉対物レンズ106及びメインビームスプリッタ112を通って往復する場合のP偏光状態及びS偏光状態に関する透過率であり、そしてEoutは電界の複素振幅である。このモデルでは、光学系は複屈折を起こさず、かつオブジェクト表面による反射も、S偏光状態及びP偏光状態を混在させる機構の影響を受けないと仮定する。例えば、局所的な表面法線に沿った軸を持つ一軸材料は、本文において特徴付けることができるが、面内複屈折が発現する材料は異なるモデルを必要とする。
実際、同じモデルが、干渉計の基準脚に沿って伝搬する基準光に適用されるが、反射率及び透過率は次式によって表わされるように、理論的に異なる。
Figure 0005107331
所定の光源波長λ及び瞳面での所定の点光源に関して検出器の位置で測定される干渉パターンは、積EoutEroutに比例する変調項から成る。
Figure 0005107331
上式では、k=2π/λ、λは光の波長であり、zは検査光と基準光との間のゼロ光路長差に対応する位置に対する、機械的走査中の検査表面の垂直方向位置であり、αは検査表面での光の入射角(瞳での点光源位置によって変わる)であり、そしてφは検査電界と基準電界との間の位相差である。実際、所定の検出器位置で測定される信号は、光源スペクトルに含まれる種々の波長によって得られる全ての信号の和である。その結果、信号をフーリエ変換することによって、これらの信号の影響度を、非常に狭い波長範囲に対応する複素スペクトル成分に分離することができる。ここで、計算スペクトル成分を特定の光源波長に割り当てるために、これらのスペクトル成分の位置をシフトするように作用する補正係数cos(α)を考慮に入れる必要があることに留意されたい。この補正係数には、検出器の各画素での光の入射角が含まれる。光学システムの校正結果はこのタスクに使用することができ、そして光学システムの校正については以下に更に議論する。
図4(左側のプロット)は、シリコン上の1003nm膜厚の二酸化シリコン膜を測定する場合の、検出器134の所定の検出器要素(瞳面における所定の位置に対応する)によって測定される代表的な干渉信号を示している。図4(右側のプロット)は、干渉信号をフーリエ変換して、波長(または、該当する波数k)の関数としてのスペクトル振幅及び位相が得られる様子を示している。スペクトル振幅及び位相の変化は、フレネル反射係数が波長(または、波数)の関数として変化する結果である。
或る実施形態では、周波数変換処理を、検出器上の瞳面の像の中の注目領域に適用する。例えば、注目領域は環帯とすることができ、この環帯によって検査表面における所定の入射角範囲が決まる。この環帯の内部の画素(すなわち、複数の検出器要素の内の一つの要素)の方位角位置によって、検査表面を照射するS偏光状態及びP偏光状態が混在する偏光状態が決まり、そして画素から光学軸までの半径方向距離によって入射角が決まる。更に、上に説明したように、スペクトル成分を、注目領域内部の複数の円に渡って抽出する(補間を使用することができる)ことができるので有用である。このような一つの円に渡って計算されるこれらの成分は次式のように表わすことができる。
Figure 0005107331
上式では、添え字は関数依存性を示し、αは瞳面での円の半径に対応する入射角であり、λは光の波長であり、θは直線偏光板に関して測定される方位角であり、hはオブジェクト表面の高さずれであり、Lは光源強度または信号強度に関連する実数スケーリング係数であり、そしてIは、光源の光強度変化だけでなく、光学系に生じる位相及び振幅変化を表わす複素関数である。
電子プロセッサは上の公式を測定プロセスのキーモデルとして使用することができる。例えば、プロセッサは、検出器により記録される干渉信号をフーリエ変換して異なる波長及び入射角に関する成分Zを生成し、そして特徴測定対象の検査表面を表わす複素比rp/rsを逆抽出する(例えば、式(4)に基づいて)。
Figure 0005107331
上式では、Ψ及びΔは2つの既知の偏光解析パラメータである。次に、標準の偏光解析アルゴリズムを使用して検査オブジェクトの幾つかの光学特性、例えば透明膜の膜厚及び屈折率を計算することができる。
例えば、均質な検査表面に膜が形成されていない場合、電子プロセッサは材料の複素屈折率を次式に従って容易に計算することができる。
Figure 0005107331
上式では、n0は周囲媒質、普通は空気の屈折率である。この方法によって、この場合は全光源スペクトルに対する複素屈折率が得られる。複数の入射角について計算されるデータを平均して測定分解能を高くすることができる。
別の例では、透明な単分子膜が未知の膜厚tを有し、かつ周囲媒質材料、膜材料、及び基板材料が既知の屈折率n0,n1,n2を有する場合、電子プロセッサは未知の膜厚tを次式に従って求めることができる。
Figure 0005107331
上式では、logは複素数値の自然対数関数であり、i=ルート(−1)であり、そしてXの計算式の符号は、結果として得られるtの値に従って選択され、tは実正数である必要がある。干渉計システム100によって得られるデータを処理することにより、tに関する複数の推定値が得られる、というのは、測定はα及びλの複数の値に対して行なわれるからである。これらの複数の推定値を使用して、式(7)の項Xに関連する膜厚に含まれ得る曖昧度を除去することができ、かつ測定分解能を高くすることができる。他の実施形態では、電子プロセッサは検査オブジェクトの複数の屈折率の内の一つ以上の屈折率を、類似の一連の数式に基づく測定データに基づいて求めることができる。
更に一般的な場合では、電子プロセッサは、例えば「散乱行列(scattering
matrix)」法を用いて検査表面の反射率を当該表面の未知のパラメータ(屈折率、膜厚、層粗度、屈折率勾配など)の関数として計算することができる。反射率関数を適用して偏光解析パラメータΨmodel及びΔmodelを、未知パラメータの値を推定するために計算する。次に、反復アルゴリズムを使用してこれらのパラメータを変更して、次式によって表わされる、測定エリプソメトリ係数及び該当するモデル係数の2乗差の和を最小にする。
Figure 0005107331
例えば異なる波長及び入射角に関する重み付け係数を含む別のメリット関数を定義することができる。このようなアプローチは、例えばElsevier Science B.V.,ISBN0444870164(ペーパーブック),1987に掲載されたR.M.A.Azzam及びN.M.Basharaによる「偏光解析法及び偏光光」と題する論文に記載されている。
システム校正
或る実施形態では、システム校正の第1ステップでは、検査表面でのビーム束の入射角を、瞳面における点光源の位置に基づいて計算する。別の表現をすると、入射角αを、瞳面の像に対応する検出器の各画素に割り当てるように操作する。この操作は、例えば測定を狭帯域フィルタを用いて行なって検出器が検出する光がほぼ単色光になり、かつ既知の波長を持つようにすることにより行なわれる。この場合、式(3)は、干渉信号の周波数が光源波長及び入射角に関係項kcosαに従って比例することを示している。信号周波数は、信号をフーリエ変換することにより計算することができ、そして入射角は並進ステージの走査速度及び光源波長に関する情報に基づいて求めることができる。
更に、並進ステージの走査速度が初期において未知である段階では、当該走査速度は、最大周波数を持つ干渉信号に対応する画素の位置を検出器上に特定することにより求めることができる。関係項kcosαに対する周波数の依存性によれば、この画素は法線入射(すなわち、α=0)に対応するので、ステージ速度は測定周波数、及び光源波長に関する情報から直接抽出することができる。
顕微鏡の対物レンズによってオブジェクト空間における角度を瞳位置にマッピングする方法に関するアプリオリな情報を使用してこの校正の精度を上げることもできることに注目されたい。例えば、通常の対物レンズはコマ収差(幾何収差)に関して補正されるが、これは、瞳での光線マッピングが普通は所謂「アッベの正弦条件(Abbe sine condition)」を満たす必要があることを意味する。この条件は、瞳の光軸からの点光源の半径方向距離がオブジェクト空間における入射角の正弦に直接比例することを意味する。従って、入射角を各画素に関して計算することができ、次に正弦条件から導出されるグローバル関数をフィッティングして、瞳位置を入射角にマッピングする解析関数を導出することができる。
或る実施形態では、上に概要を説明した手順を異なる公称光源波長に関して繰り返して、色が変化した場合の角度マッピングを考慮に入れるようにすることができる。フィッティング手順によって、瞳の光軸の画素位置が副次的に得られる。当該情報は波長の関数としても記録され、かつ後の時点で使用して入射角計算に補正を加えることができる。
或る実施形態においては、校正の第2段階では、式(4)で表わされる観測可能なZを、エリプソメトリ法によって測定される比に関連付ける種々のシステムパラメータの値を計算する。
例えば、この計算は、既知の光学特性を持つ2つのサンプル、例えばエリプソメータに通常使用される校正用ウェハを測定することにより行なうことができる。各入射角及び注目波長に関して、電子プロセッサは両方のサンプルに対して式(4)に示すように、スペクトル成分Zをアジマス角(方位角)θの関数として求める。次に、これらの成分の比を計算すると、θの関数としての複素比zが次式のように得られる。
Figure 0005107331
上式では、zsαλ及びταλは既知の複素数であり、そして添え字aまたはbは一方の校正サンプル、または他方の校正サンプルを指す。ρaαλ及びρbαλは2つの材料の反射率の比を使用して計算される。これらの反射率自体は、校正用サンプルの既知の材料特性及び既知の膜厚(あるとした場合の)を使用して計算される。次に、電子プロセッサはソルバー、例えばLevenberg−Marquardtアルゴリズムを利用した最小自乗ソルバーを使用して、式(9)の両辺で計算される量の差を最小にする2つの未知パラメータの値を求めることができる。プロセスは、他の入射角及び波長に関して繰り返される。
別のステップでは、必要に応じて、マップzsαλ及びταλをフィルタリングする、そして/または解析関数にフィッティングする。従って、各サンプルに関して得られるスペクトル成分を再処理し、そして別の校正パラメータ、すなわち次式によって表わされる関数Jを求めることができる。
Figure 0005107331
実際、式(10)に示すJに関する2つの式に平均操作を施すことができる。次に、入射角、波長、及びアジマス角の関数としてのJの計算値を電子プロセッサが校正ファイルに関数ταλの定義と一緒に格納する。
上に概要を説明した手順を2つよりも多くのサンプルに拡張適用して計算の冗長性による利点を生かすことができる。
或る実施形態では、校正の別のステップでは、検出器から眺めたときの瞳での座標系における偏光板の正確な方位角を決定する。この操作は、例えば式(9)がθに関して周期πの周期性を持つことに注目することにより行なうことができる。比zをフーリエ変換した後の比の偶数成分の位相は偏光板の角度ずれの直接測定値であることになる。従って、この計算はzsαλ及びταλを求める前に行なうことができる。
未知の検査オブジェクトの特徴測定
或る実施形態では、保存校正情報を使用することにより、電子プロセッサは未知の検査オブジェクトの特徴を次のようにして測定することができる。未知のオブジェクト表面に関して記録される干渉データは校正に関して処理され、そして添え字cが未知の検査オブジェクトを指す場合に、干渉データによってリング状のデータが式(4)に従って生成される。
Figure 0005107331
各リングは、校正情報を使用して処理される。まず、関数Jを特定の入射角及び波長に関して導出し、そして新規の複素比を次式に従って計算する。
Figure 0005107331
上式では、ηαλ及ρcαλは、アジマス角θには依存しない独立の未知の複素パラメータである。数値ソルバーを再度適用して、測定比zと式(12)の右辺のモデルとを最も良く一致させるこれらのパラメータの値を求める。校正を行って得られる重要な結果は、未知のオブジェクト表面に関してエリプソメトリ法によって測定される比であるパラメータρcαλである。
例えば式(6)〜(8)を参照しながら上に説明したように、電子プロセッサはエリプソメトリ法によって測定される比を、検査オブジェクトのモデルに従って処理して、一つ以上の層の屈折率及び/又は膜厚のような検査オブジェクトに関する情報を抽出することができる。
図5のグラフは、シリコン基板上の675nm膜厚のSiO2単分子膜に関する上の解析結果を使用して求められる実験データを示している。これらのグラフは、α=43°及びλ=576nmの場合に実験的に測定されるZcαλθ(左側のプロット)及びzαλθ(右側のプロット)の実部及び虚部を示している。図示のように、求めた比zαλθは、式(12)のモデルから予測されるπの周期性を持つ。
ここで、式(11)に定義されるリング状データを生成するためには、検出器における光軸の位置を求める必要がある。この位置は、校正以降変化している可能性がある。例えば、計測器は表面特性測定モードから形状測定モードに切り替わっているので、瞳の中心の検出器への投影像が一定のままであると仮定することはできない。従って、或る実施形態においては、プロセスの予備ステップでは、各検出器要素での周波数スペクトルを計算して、各画素の公称平均周波数(例えば、平均周波数は測定スペクトルの中心として計算することができる)を求め、そして平均周波数のマップを解析して瞳中心の位置を求めることができる。上の校正の節で述べたように、所定の光源スペクトルの平均周波数はオブジェクト空間における入射角の余弦に対応すると考えられる。従って、平均周波数が最大になる瞳位置は光軸に対応する。或る実施形態では、この位置は−放物線関数のような−偶関数を平均周波数のマップにフィッティングし、そして放物線の頂点を光軸の位置として定義することにより計算することができる。別の方法として、予備測定を、システム校正におけるように、システムに挿入される狭帯域フィルタで行なうことができる。
式を簡単にし、かつ理解し易くするために、上の節で概要を説明した手順は、検査表面及び光学システムの性質に関する所定の仮定に基づいて行なわれる。しかしながら、更に高性能のモデルを更に複雑な事例に使用して、検査オブジェクトに関する情報をシステム100が測定する干渉信号から抽出することができる。例えば、異なるモデルは、検査構造が複屈折性を示すとともに、非複屈折性校正サンプルを利用する校正手順が同じ手順のままである場合に使用することができる。
偏光素子の更に別の構成
上の解析の節では、偏光素子140及び144が互いに平行な向きの直線偏光板であり、偏光素子142及び146を設けず、そしてビームスプリッタ112が非偏光ビームスプリッタである実施形態を用いて説明が行なわれた。別の実施形態においては、直線偏光板の平行度を保証する解析的に等価な構成では、偏光素子140及び144を取り外し、そして偏光素子142を直線偏光板とするが、これは、偏光素子142が干渉対物レンズに振り向けられる入力光、及び検出器に振り向けられる合成光の両方の光路に位置するからである。別の実施形態では、偏光素子140及び144は、向きが互いに直交する直線偏光板とすることができ、この場合、オブジェクトから戻ってくる光の量は、方位角位置を変数とする周期関数に、S反射率及びP反射率の、方位角方向依存性のない重み付け合計を乗算した値である。このような情報を処理して検査オブジェクトに関する情報を求めることもできる。
更に別の実施形態では、偏光素子140,144,及び146を設けず、ビームスプリッタ112は偏光ビームスプリッタとし、かつ偏光素子142は1/4波長板とする。1/4波長板を、ビームスプリッタ112によって定義される偏光軸から45°の位置の、位相が進む軸、及び位相が遅れる軸に正しく位置合わせすることにより、円偏光状態が瞳の全てのポイントに生じる。従って、検出干渉信号のS偏光及びP偏光による影響度には、点光源の方位角位置に対する1次依存性がない。従って、光軸から一定の距離に位置する一団の検出器要素に渡って収集される情報を合成して測定値全体の信号対雑音比を改善することができる。ここで、1/4波長板の種類によって変わるが、例えば波長とともに遅延特性が変化しないようにするためには更に高性能の処理を行なう必要があり、この遅延特性の変化によって、瞳における点光源の偏光状態に対する小さな方位角方向依存性が生じる。この挙動は、例えばこの技術分野では公知のジョーンズ行列及びベクトルを使用して数学的にモデル化することができる。
更に別の実施形態では、偏光素子140は設けず、ビームスプリッタ112は偏光ビームスプリッタとし、偏光素子142は1/4波長板とし、偏光素子144は設けず、そして偏光素子146は、干渉対物レンズ106に取り付けられる直線偏光板とする。直線偏光板を設けるので、この実施形態は、平行な直線偏光板を有する第1の実施形態と解析的に等価である。しかしながら、偏光ビームスプリッタ及び1/4波長板を追加することにより、異なる顕微鏡対物レンズ(偏光板を取り付けていない)をシステムに装着して、例えば形状測定動作モードで使用する場合に、システムの光効率を高くすることができる。以下に更に詳細に説明するように、異なる顕微鏡対物レンズの間を前後に切り替える操作は、対物レンズタレットを使用して行なうことができ、対物レンズタレットは、生産ライン計測器用の電子プロセッサによる制御の下にモータ駆動することができる。
反射率測定モード
更に別の実施形態では、偏光素子140,142,144,及び146は全て設けず、かつビームスプリッタは非偏光ビームスプリッタとする。ハロゲンバルブのフィラメント、または白色発光LEDの発光材料のような通常の広帯域光源の場合、照射入力光は偏光されないが、これは、瞳における全ての点光源に関して、偏光状態はS偏光成分及びP偏光成分が同じ量だけ混在する状態として表現することができることを意味する。この場合、測定信号はここでも同じように、光源の方位角位置に対して1次依存性を持たないと予測される。この場合、システムは、オブジェクトによって反射される光の量を、異なる入射角に関して反射率計と同じように測定する機能を備える。しかしながら、1回に光の一つの波長しか捉えない従来の反射率計とは異なり、干渉計システム100は全ての光源波長を1回の測定の過程で捉えることができる。これらのスペクトル成分は、信号の解析を上に説明した周波数領域で行なうことにより分離される。この反射率測定動作モードによって、上に説明した偏光解析モードと同程度の量の情報が検査表面反射率に関して提供されることはないが、反射率測定情報は検査オブジェクトの特性の変化に対する感度が非常に高い。例えば、反射率測定データを検査オブジェクトのモデルと比較して均質サンプルの所定位置での材料組成、屈折率、膜厚、及び/又は欠陥の有無を判断することができる。
薄膜サンプルに関する選択信号解析
検査オブジェクトが一つ以上の膜を含む場合、複数の界面によって複数の反射が生じ、これらの反射は各検出器要素で測定される干渉信号に影響する。広帯域光源の場合、瞳面内の種々の位置で測定される干渉信号は、図2及び図4(左側)の信号包絡線に示されるように、コヒーレンス長が短い。膜の厚さが十分に厚く、光が往復する時間によって測定される光学膜厚がコヒーレンス長よりも大きいような状態である場合、測定信号は分離可能な複数の信号から成り、各信号は2つの材料の間の界面に対応する。
シリコン(Si)上の5μm厚の二酸化シリコン(SiO2)膜に関するこのような信号の例を図6に示す。この場合、右側の相対的に弱い信号は空気/SiO2界面に対応する。中央の相対的に強い信号はSiO2/Si界面に対応する。左側の弱い信号はSiO2層の中を光が2回通過して反射することにより生じる。この場合、信号の内、所定の界面に対応する各部分を個別に処理してサンプルモデルを簡易化する(例えば、未知パラメータの数を少なくする)ことができるので、解析を簡易化する、または解析の堅牢性を向上させることができる。
例えば、或る実施形態では、電子プロセッサは信号の内、空気/上部層界面に対応する部分を処理することができるので、解析操作が上部層材料から成る無限に厚い厚板を測定する操作と等価になる。この場合、材料の屈折率は、式(6)を使用して容易に計算することができ、かつモデル化操作に、下地層の影響、及び在るとすれば、パターニング済みの半導体ウェハ上に設けられる構造のような複雑な構造の影響を考慮に入れる必要がない。
更に、或る実施形態では、電子プロセッサが信号の内、上部層界面に対応する部分を解析した後、信号全体(または、信号の他の部分)に関する処理は、下部界面に対応する信号部分を取り込むことにより繰り返すことができる。偏光解析データを処理するために必要とされるモデルはこの事例では更に複雑であるが、初期処理によって上部層の屈折率が得られるので、当該モデルは初期処理を行なわない場合よりも簡単になる。例えば、厚い単分子膜の屈折率は、最も右側の信号を処理するときに式(6)を使用して最初に計算することができる。基板材料が既知であると仮定すると、干渉信号全体(例えば、図6に示す軌跡全体を含む)を処理することによって新規の偏光解析パラメータが得られ、これらのパラメータによって、膜厚を式(7)を使用して得ることができる。この場合の利点は、屈折率及び物理膜厚の計算を分離することができることである。
多層積層構造が十分に厚い複数の層により形成される場合、ブートストラップ手順を適用することができ、ブートストラップ手順は、第1信号によって第1層の屈折率が得られることから始まる。次に、第1信号及び第2信号を同時に処理することにより、第1層の膜厚及び第2層の屈折率が得られる。次に、第1信号、第2信号、及び第3信号を処理することにより、第2層の膜厚及び第3層の屈折率が得られ、これらの操作が他の層に関しても同様に行なわれる。この場合も同じように、利点は、従来のエリプソメータで普通に用いられる偏光解析モデルであって、全ての材料及び膜厚が未知の状態で全ての界面を一度に取り込む偏光解析モデルを使用する必要がないことである。
複数の膜の膜厚が薄く、これらの膜厚が薄いことに起因して各界面に関連する干渉信号が部分的に重なってしまう場合でも、干渉信号の内、所定の界面に対応する部分を分離し、そして当該部分を個別に処理することは可能である。これについては、例えば「表面の干渉解析を行なう方法及びシステム、及び関連するアプリケーション」と題し、かつ米国特許公開第2005−0078318−A1号として公開されている米国特許出願第10/941,649号を参照されたく、この文献の内容の内、形状測定モードの説明箇所に開示されるこのような方法を記述する部分を本明細書において参照することにより当該部分が本明細書に組み込まれる。
形状測定モードによる解析
上に説明したように、干渉計システム100は、検査表面に関する反射率情報を求める偏光解析(または、反射率測定)モードから、例えば検査表面の形状を求める形状測定モードに切り替えることができる。図3に示すように、この切り替えは、例えば中継レンズ136を、検査表面を検出器に結像させる(瞳面を検出器に結像させるのではなく)別のレンズ236に置き換えることにより行なうことができる。この構成は、表面形状測定を行なう従来の走査型干渉計に対応する。以下の記述では、表面形状測定動作の数学的表現について説明する。
バルク材料検査オブジェクト(すなわち、薄膜構造ではない)の場合、検査脚の基準データ平面において角波数k=2π/λの1次に依存する干渉位相φは次式のように表わすことができる。
Figure 0005107331
上式では、k0は公称波数であり、ζは並進ステージの走査座標であり、γpartは部品表面の反射時位相変化(PCOR:phase change on reflection)であり、そしてγsysは干渉計システムに起因するシステム位相ずれである。値γsysは、干渉計光学系に起因するPCOR影響度、及び例えば走査座標ζの開始位置に起因する全ての一定のずれを含む。線形分散τpart及びτsysは、位相ずれγpart及びγsysにそれぞれ対応する。位相ずれγpart,γsysは公称波数k0で見積もられる。屈折率nは空気の屈折率であり、かつ波数とは独立していると仮定している。この技術分野の当業者であれば、材料屈折率の波数依存性を考慮に入れることにより、本発明の示唆を、空気よりも密度の高い透明媒質に拡張することができることが分かるであろう。式(13)の項の全ては視野位置x,yの関数となり得るが、次の記述では、変数n,ζ,k0は視野全体に渡って一定であると仮定する。
広帯域光が光源から照射されるので、干渉縞は、基準脚と測定脚との間の光路差(OPD)が広帯域光のコヒーレンス長に収まる場合にのみ生成される。従って、走査干渉測定は「コヒーレンスプロファイリング(coherence profiling)」モードと考えることができるが、これは、当該モードが干渉効果の広帯域性、例えば干渉縞コントラスト(干渉縞の暗い部分と明るい部分の強度の比)の局在化を使用する、または等価的に、波数に依存する干渉位相の変化割合の測定値を使用するからである。その結果、各検出器要素が測定する干渉信号Iは次式のように表わすことができる。
Figure 0005107331
上式では、Vは干渉縞コントラスト包絡線(fringe contrast envelope)である。包絡線Vは光源からの光のスペクトル分布をフーリエ変換した結果に比例する。
コントラスト包絡線が対称である場合、干渉縞コントラスト包絡線のピーク値は、dφ/dk=0が成り立つ走査位置によって与えられる。この位置は位相が固定される位置であり、この場合、干渉位相は波数に関係なく同じであり、かつ干渉パターンの全てが積極的に加算される。更に一般的には、位相が固定される条件dφ/dk=0は干渉縞コントラスト包絡線Vの中心に対応する。位相が固定される位置と最近接ゼロ位相ポイントφ=0位置との間の位相ギャップGは次式により与えられる。
Figure 0005107331
これは、波数kに依存しないが、システムパラメータ及び部品パラメータに依存する一定の位相ずれである。位相φ0は公称波数k0での位相(ζ=0の走査位置に関する)、例えば式(13)から次式のように表わされる位相である。
Figure 0005107331
式(14)から、最大の、またはピークの干渉縞コントラストは走査位置ζ=h+(τsys+τpart)/2nで生じることが分かる。従って、一のデータ処理形態では、電子プロセッサは形状測定モードで動作する場合、干渉縞コントラスト包絡線Vをζの関数として電子変換またはデジタル変換により全ての検出器画素に関して求める。次に、電子プロセッサは、包絡線Vが特定の値、例えば包絡線の最大値またはピーク値に達する走査位置ζmaxを求める。検査オブジェクト上の各位置に関する、該当する高さhは、この走査位置から分散ずれを減算した値になり、次式により表わされる。
Figure 0005107331
別の信号処理法では、コヒーレンスプロファイリング強度信号は走査位置ζに関して、周波数領域に(すなわち、周波数波数kに)フーリエ変換される。変換データの位相は式(13)の位相φ(k)に直接対応する。この位相に基づいて、信号プロセッサは位相微分dφ/dkを計算し、そして各検出器画素に関する高さhを次式に従って求める。
Figure 0005107331
上式では、微分dφ/dkはζ=0に関して計算される。式(18)は式(13)から直接求められる。
式(17)及び(18)から、コヒーレンスプロファイリングデータに基づく表面高さ測定値は、例えば校正によって、干渉計システム及び検査部品のPCOR分散(例えば、τpart及びτsys)を補正することにより更に高精度に計算することができることが分かる。PCOR(反射時の位相変化)率が視野全体に渡って一定である限り、位相変化率を補正しないことによって全体的なシフトが表面プロファイルに発生するだけであり、結果として得られる表面形状は正確である。しかしながら、PCOR(反射時の位相変化)率が、例えば表面材料の変化によって変化する場合、位相変化率を補正して更に高精度の表面形状プロファイルを提供する必要がある。
コヒーレンスプロファイリングの他に、表面高さ測定も干渉位相プロファイリングデータを利用して行なうことができ、この場合、干渉位相φ(k)は一つ以上の波数kに関して直接測定される。例えば、位相シフト干渉計測(PSI:phase shifting interferometry)法をこのような測定に使用することができる。干渉位相の直接測定を行なって高さhを求める場合、PCORγpart及びγsys(及び、公称波数k0以外の波数に関するPCOR分散τpart及びτsys)を補正することにより、高さ測定の精度を高めることができることは式(13)から明らかである。
一般的に、コヒーレンスプロファイリング測定の特定のノイズ発生源に対する感度は、位相プロファイリング測定における感度とは異なるので、特定の方法を特定の適用形態に関して選択することができる、または特定の方法を使用してこれらの方法を互いに補完することができる。しかしながら、多くの位相プロファイリング測定の一の不具合は、測定位相φ(k)が、2πに対応する干渉縞の曖昧度を含むことである。表面が相対的に平滑である場合、表面全体に渡る干渉縞の相対的な曖昧さ(relative fringe ambiguity)の補間は、複数の検出器画素のデータに基づき、干渉縞について標準のアンラッピング処理(standard fringe unwrapping procedure)を施すことにより行なうことができる。しかしながら、更に一般的には、コヒーレンスプロファイリングデータのような個別の測定値を取り入れて、干渉縞のこのような曖昧さを取り除くことが好ましい。従って、確実な表面プロファイル測定値を得るために、コヒーレンスプロファイリング高さ測定値だけを使用することができる、またはコヒーレンスプロファイリング高さ測定値を使用して、干渉縞の明白な曖昧さを、コヒーレンス測定値よりも或る場合には正確である可能性のある位相プロファイリング測定値から取り除くことができる。
このような一の実施形態では、コヒーレンスプロファイリング測定値から求められる高さhを使用して、確実な位相プロファイリング高さ測定値h’を、位相φ0=φ(k0)の場合の位相プロファイリングデータに基づき、次式に従って計算する。
Figure 0005107331
上式では、Int[]は最も近い整数をIntの引数に返す。式(19)は個別に部品表面の全てのポイントx,yに適用することができる。ここでも同じく、式(19)から明らかなように、PCORγpart及びγsysを補正することにより、確実な位相プロファイリング測定値の精度を高めることができる。更に、式(19)は、コヒーレンスプロファイリング測定値から求めた値hを通してPCOR分散値τpart及びτsysに暗に依存する。
薄膜が複雑であるように、サンプルが更に複雑になる場合、形状測定の数式化は、下地表面による反射も干渉信号に影響することになるので更に複雑になる。入力光の短いコヒーレンス長が、干渉信号を各界面に対応する複数の部分に分離するために膜厚に対して十分に短い場合、電子プロセッサは干渉信号の内、注目界面に対応する部分を分離し、そして当該部分を上に説明した一般化式を使用して処理して当該界面の表面形状を抽出することができる。更に、複数の膜の膜厚が薄く、これらの膜厚が薄いことに起因して各界面に関連する干渉信号が部分的に重なってしまう場合でも、干渉信号の内、所定の界面に対応する部分を分離し、そして当該部分を個別に処理することは依然として可能である。これについては、例えば「表面の干渉解析を行なう方法及びシステム、及び関連するアプリケーション」と題し、かつ米国特許公開第2005−0078318−A1号として公開されている米国特許出願第10/941,649号を参照されたく、この文献は上の記述において参照することにより、当該文献の内容が本明細書に組み込まれている。更に別の実施形態では、電子プロセッサは、「走査干渉法を使用した複雑な表面構造の形状測定」と題し、米国特許公開第2004−0189999−A1号として公開されていて、かつ当該文献の内容をここで参照することにより本明細書に組み込まれることになる米国特許出願第10/795,579号に開示されるモデル利用技術を使用して複雑な表面構造のプロファイル情報を求めることができる。
形状測定に関する更に別の実施形態
中継レンズ136を取り外すのではなく、更に別の実施形態では、例えば中継レンズをそのままにしておき、かつ検出器134を、検査表面に焦点が合う位置に並進移動させることができる。この様子は図7に模式的に示され、この図は、検出器134がモータ駆動並進ステージ760に電子プロセッサ770による制御の下に接続されて、干渉計システム700の残りの部分に対する、合成光132を受信する検出器の位置を調整する様子を示している。並進ステージによってシステムは、瞳面が検出器に結像する偏光解析モードに対応する第1位置と、検査表面が検出器に結像する形状測定モードに対応する第2位置との間の切り替えを行なうことができる。
図8に模式的に示す更に別の実施形態では、ビームスプリッタ810は干渉計システム700の残りの部分から受信する合成光132を、2つの該当するマルチエレメント検出器880及び890を含む2つのチャネルに分離することができ、この場合、一方のチャネルは中継光学系875を使用して瞳面114を第1検出器880に結像して偏光解析モード測定を可能にし、かつ他方のチャネルは中継光学系885を使用して検査表面を第2検出器890に結像して形状測定モード測定を同時に可能にする。両方の検出器が電子プロセッサ870に接続され、この電子プロセッサが検出器画像を上に説明したように解析する。
これらのアプローチの種々の組み合わせを用いることもできる。例えば、システムは瞳面を共通電子検出器の第1部分に結像させ、かつ検査表面を共通電子検出器の第2部分に結像させる光学系を含むことができる。この場合、共通電子検出器の異なる部分は個別の検出器と考えることができる。
マルチモード動作及び適用形態
図9は、干渉計システム100の種々のコンポーネントをどのようにして電子プロセッサ970による制御の下に自動化することができるかを示す模式図を示し、電子プロセッサはここに説明する実施形態では、数学的解析を行なう解析プロセッサ972と、干渉計システム100の種々のコンポーネントを制御するデバイスコントローラ974と、ユーザインターフェース976(例えば、キーボード及びディスプレイ)と、そして校正情報、データファイル、サンプルモデル、及び/又は自動プロトコルを保存する記憶媒体978と、を含むことができる。
まず、システムはモータ駆動タレット910を含むことができ、モータ駆動タレットは複数の対物レンズ912を支持し、かつ選択対物レンズを入力光104の光路に挿入するように構成される。これらの対物レンズの内の一つ以上を干渉対物レンズとすることができ、この場合、異なる干渉対物レンズは異なる倍率を持つ。更に、或る実施形態では、これらの干渉対物レンズの内の一つ(または複数)の干渉対物レンズは、偏光素子146(例えば、直線偏光板)を当該レンズに取り付けることにより、偏光解析動作モードとなるように特別な形で構成することができる。残りの干渉対物レンズは形状測定モードで使用することができ、そして或る実施形態では、残りの干渉対物レンズに偏光素子146を取り付けないで光効率(ビームスプリッタ112が偏光ビームスプリッタであり、かつ偏光素子142が1/4波長板である構成の、上に説明した実施形態におけるように)が高くなるようにすることができる。更に、これらの対物レンズの内の一つ以上の対物レンズを、各対物レンズが異なる倍率を持つ非干渉対物レンズ(すなわち、基準脚を持たない対物レンズ)とし、システム100が従来の顕微鏡モードで動作して検査表面の光学画像を収集することもできるようにすることができる(この場合、中継レンズは検査表面を検出器に結像させるように設定される)。タレット910は電子プロセッサ970によって制御され、電子プロセッサは所望の対物レンズをユーザ入力または或る自動プロトコルに従って選択する。
次に、システムはモータ駆動ステージ920(例えば、結像レンズホルダ)を含み、モータ駆動ステージは中継レンズ136及び236を支持し、そしてこれらの中継レンズの内の一つの中継レンズを合成光132の光路に選択的に位置させて、瞳面114を検出器に結像させる第1モード(例えば、偏光解析モードまたは反射率測定モード)と、検査表面を検出器に結像させる第2モード(例えば、形状測定モードまたは顕微鏡モード)との間で選択を行なう。モータ駆動ステージ920は電子プロセッサ970によって制御され、電子プロセッサは所望の中継レンズをユーザ入力または或る自動プロトコルに従って選択する。並進ステージを移動させて検出器の位置を調整し、これによって第1モードと第2モードとの間の切り替えを行なう構成の他の実施形態では、並進移動は電子プロセッサによる制御の下に行なわれる。更に、2つの検出チャネルを有するこれらの実施形態では、各検出器は解析用電子プロセッサ970に接続される。
更に、システムは電子プロセッサによる制御の下にモータ駆動される絞り930及び932を含むことができ、これらの絞りによって視野絞り138及び開口絞り115の寸法をそれぞれ制御する。ここでも同じように、これらのモータ駆動絞りは電子プロセッサによって制御され、電子プロセッサは所望の設定をユーザ入力または或る自動プロトコルに従って選択する。
並進ステージを使用して干渉計の検査脚及び基準脚の相対光路長を変化させる構成の並進ステージ150も電子プロセッサによって制御される。上に説明したように、並進ステージを接続して、検査オブジェクト126を支持するマウント940に対する干渉対物レンズの位置を調整することができる。別の構成として、更に別の実施形態では、並進ステージによって、マウントに対する干渉計システムの位置を全体として調整することができる、または並進ステージをマウントに接続して、移動することにより光路長差を変化させる光学素子がマウントとなるようにすることができる。
更に、これもまた電子プロセッサ970によって制御される横方向並進ステージを、検査オブジェクトを支持するマウント940に接続して、光学検査対象の検査表面領域を横方向に並進移動させることができる。或る実施形態では、並進ステージ950によってマウント940の向きを変える(例えば、傾斜及び傾きを付ける)こともでき、これによって検査表面が干渉対物レンズの光軸に垂直になるようにする。
最後に、これもまた電子プロセッサ970によって制御されるオブジェクトハンドリングステーション960をマウント940に接続して検査サンプルを測定用システム100に自動的に搬入し、そして取り出すことができる。例えば、この技術分野では公知の自動ウェハハンドリングシステムはこの用途に使用することができる。更に、必要に応じて、システム100及びオブジェクトハンドリングシステムを真空状態またはクリーンルーム状態で収納して検査オブジェクトの汚染を最小限に抑えることができる。
結果として得られるシステムは大きな柔軟性を持ち、種々の測定手段及び測定手順を提供することができる。例えば、システムはまず、一つ以上の選択倍率を持つ顕微鏡モードで構成して、検査オブジェクトの光学画像をオブジェクトの種々の横方向位置に関して取得することができる。このような画像はユーザが、または電子プロセッサ970が解析して(マシンビジョン技術を使用して)、所定の領域(例えば、特定の構造またはパターン、目印、基準マーカ、欠陥など)をオブジェクトの中に識別することができる。このような識別情報に基づいて、サンプルの選択領域を偏光解析モードで分析してサンプル特性(例えば、屈折率、下地膜の膜厚(群)、材料識別情報など)を求めることができる。
従って、電子プロセッサはステージ920に指示して中継レンズを、偏光解析モード用に構成されるレンズに切り替えさせ、更にタレット910に指示して適切な干渉対物レンズを入力光の光路に挿入させる。偏光解析測定の精度を上げるために、電子プロセッサは視野絞りの大きさをモータ駆動絞り930によって小さくして、オブジェクトの横方向に均質な小領域を分離することができる。偏光解析による特徴測定が完了した後、電子プロセッサ970は計測器を形状測定モードに切り替えて、適切な倍率を持つ干渉対物レンズを選択し、それに応じて視野絞りの大きさを調整することができる。上に説明したように、形状測定モードでは、例えばオブジェクトを構成する一つ以上の界面の形状の再構成を可能にする干渉信号を捕捉する。特に、以下に更に詳細に説明するように、偏光解析モードで求められる種々の材料の光学的特徴に関する情報を取得することにより、薄膜に関する計算形状を補正する、または補正しない場合にはプロファイルを歪ませることになる異種材料の効果を補正することができる。これについては、例えば「走査干渉法を使用した複雑な表面構造の形状測定」と題し、米国特許公開第2004−0189999−A1号として公開されており、かつ上の記述の中で参照することにより本明細書に組み込まれている米国特許出願第10/795,579号を参照されたい。必要に応じて、電子プロセッサは開口絞り径をモータ駆動絞り932によって調整して、種々のモードのいずれかのモードにおける測定を向上させることができる。
自動オブジェクトハンドリングシステム960に関連して使用される場合、測定手順は一連のサンプルに対して自動的に繰り返すことができる。この手法は、一つ以上の半導体処理工程をモニタリングする、検査する、そして/または最適化するといったような種々のプロセス制御方法に有用となり得る。
例えば、システムを半導体プロセスに使用してツール固有のモニタリングを行なう、またはプロセスフロー自体を制御することができる。プロセスモニタリング用途では、単層膜/多層膜を該当するプロセスツールによって、パターンが形成されていないSiウェハ(モニタウェハ)に成長させ、堆積させ、Siウェハ(モニタウェハ)上で研磨し、またはエッチング除去し、続いて膜厚及び/又は光学特性をここに開示する干渉計システムを使用して(例えば、偏光解析モード、形状測定モード、または両方のモードを使用することにより)測定する。これらのモニタウェハの膜厚(及び/又は光学特性)の平均だけでなく、ウェハ内均一性を使用して関連するプロセスツールが目標仕様通り動作しているかどうかを、または当該ツールの目標を変更する、当該ツールを調整する、または生産ラインでの使用から外す必要があるかどうかを判断する。
プロセス制御用途では、後出の単層膜/多層膜を該当するプロセスツールによって、パターニング済みのSi量産用ウェハに成長させ、堆積させ、ウェハ上で研磨し、またはエッチング除去し、続いて膜厚及び/又は光学特性をここに開示する干渉計システムを使用して測定する(例えば、偏光解析モード、形状測定モード、または両方のモードを使用することにより)。プロセス制御に使用される生産ラインにおける測定は通常、小さな測定部位に対して行なわれ、かつ当該測定によって測定ツールを注目サンプル領域に位置合わせすることができる。この部位は多層膜積層構造(この積層構造自体がパターニングされている可能性がある)から成るので、関連する物理パラメータを抽出するために複雑な数学的モデル化操作を必要とする。プロセス制御測定によって、集積回路製造プロセスフローの安定性を判断し、そして集積化処理を継続させる、当該処理の目標を変更する、当該処理を他の設備で行なう、または完全に中止するべきかどうかを判断することができる。
詳細には、例えばここに開示する干渉計システムを使用して次の設備をモニタリングすることができ、これらの設備として、拡散装置、高速熱アニール装置、化学気相成長装置(低圧及び高圧の両方)、誘電体エッチング装置、化学的機械研磨装置、プラズマ堆積装置、プラズマエッチング装置、リソグラフィトラック(lithography track)システム、及びリソグラフィ露光装置を挙げることができる。更に、ここに開示する干渉計システムを使用して、トレンチ及び絶縁分離、トランジスタ形成だけでなく、層間誘電体形成(デュアルダマシンのような)に関するプロセスを制御することができる。
異なるモードによる情報を合成する
干渉計システム100の一つの革新的な特徴は、検査オブジェクトに関する情報を迅速かつ自動的に種々の測定モードに関して収集することができるだけでなく、一つの動作モードから求めた当該情報を使用して他の動作モードにおける測定の精度を上げることができることである。
例えば、偏光解析動作モードになっている場合、電子プロセッサはオブジェクト(例えば、半導体ウェハ上で誘電体領域によって分離される銅配線)上の異なる位置に含まれる種々の材料の光学特性を求めることができる。このような場合においては、各材料に対して通常、個別の測定を行なう必要がある。一旦、これらの特性が明らかになると、オブジェクト表面によって反射される光が示す反射時の位相変化(PCOR)を計算することができる。形状測定解析の節で上に説明したように、これらの位相変化は材料に依存し、かつ形状測定に影響する。例えば、銅領域は、誘電体領域に対する銅領域の実際の位置よりも低く位置するように見える。しかしながら、偏光解析モードで求めた材料依存型の位相変化に関する情報を取得していることによって、電子プロセッサは形状マップを補正して真の表面形状を取得することができる。実際、所定の入射角及び波長に関する反射時の位相変化は、式(20)のパラメータ
Figure 0005107331
への影響因子として測定信号に影響する。例えば、パラメータ
Figure 0005107331
に対する位相変化による影響は次式のように表わすことができる。
Figure 0005107331
上式では、
Figure 0005107331
は計測器の特性であり、
Figure 0005107331
は、当該特定の測定位置における反射時の位相変化である。厚膜金属膜のようなバルク材料の場合、表面特性測定方法によって、例えば式(6)を使用して計算される屈折率が得られる。次に、電子プロセッサは、金属によって種々の入射角及び波長で反射される光に関する反射時の位相変化の値を、フレネル反射係数の複素引数を使用して計算することができる。表面構造が更に複雑である場合、式(8)のメリット関数を最適化することによって、構造の光学特性が得られる。次に、散乱行列法を用いて反射時の位相変化を入射角及び波長の関数として計算する。形状測定解析の節で上に説明したように、低開口数(NA)の干渉対物レンズが形状測定に使用される場合、反射時の位相変化の影響を補正するということは単に、表面高さから、計算位相変化を表面高さの計算に使用される波数で割った値を減算することになる(例えば、式(16)に示すように)。高NAの干渉対物レンズの場合、当該補正は干渉計をモデル化することにより行なわれる。当該モデルでは、種々の波長を持つ種々の点光源によって生成される干渉信号を、オブジェクト高さポイントh=0に関する適切な重みを付けて合計する。合計干渉信号は、形状測定に使用するアルゴリズムと同じアルゴリズムで解析され、そして合計干渉信号によって有効高さh’が得られるが、有効高さh’は単に、反射時の位相変化を合成することによって発生する高さずれである。次に、値h’を特定の表面構造に対応する位置の実験形状マップから減算する。
別の例では、偏光解析モードにおける測定の精度は、オブジェクト表面が干渉対物レンズの光軸に垂直になる(すなわち、干渉対物レンズに対してオブジェクト表面が傾き、及び傾斜を持たない)場合に高くなる。測定精度を高くするこの操作は、電子プロセッサを形状モードに切り替え、そして部品の向きを調整しながら表面傾き、及び傾斜を繰り返し測定することにより行なうことができる。上に説明したように、手順は、モータ駆動傾き/傾斜ステージ960によって自動的に行なうことができ、このステージによって計測器を自己整合で位置合わせする。一旦、部品が正しく無効化されると、計測器は表面特性測定モード(例えば、偏光解析モードまたは反射率測定モード)に戻るように切り替わることができる。
更に別の例では、形状測定モードを使用して上部層の表面粗さを測定することもできる。次に、この情報を表面の偏光解析モデルに取り込むことができる。同様に、上部表面の形状は膜の膜厚均一性に関する情報となることができる。この情報を使用して、測定領域を表面特性測定モードにおいて画定する視野絞りの大きさを最良の形で選択することができる。例えば、膜厚が規格上一定である小領域を選択したいと考えることができる。
更に、干渉信号の一部分を選択して検査オブジェクトの該当する界面を分離する構成の実施形態では、膜の光学膜厚または物理膜厚(屈折率が既知の場合)を形状モードで測定することができる。次に、膜厚に関するこのアプリオリな情報は表面特性測定モードに転送することができ、そして偏光解析モデルの正確な推定値となることができる。
狭帯域可変波長光源
更に別の実施形態では、図1のシステム100の光源102は、電子プロセッサによって制御される可変波長単色光源に置き換えることができる。例えば、光源は可変波長レーザダイオード、または可変波長スペクトルフィルタ(例えば、単色光分光器、スペクトルフィルタホイール、または可変波長液晶フィルタ)を組み込んで可変波長スペクトル出力を生成する広帯域光源とすることができる。更に、基準ミラー122の位置を調整して、検査表面が干渉対物レンズの焦点に位置するときの検査光と基準光との間の光路長差がゼロにならないようにする。検出器134は、光源の波長を掃引するときに合成光によって生成される干渉パターンを記録する。この場合、干渉対物レンズに対するオブジェクトの機械運動は発生しない。基準ミラーの位置を調整し、そしてその結果、干渉計の検査脚と基準脚との間の光路長差がゼロにならないので、光源周波数を掃引することによって、各検出器要素の位置で測定される干渉信号が生成される。この干渉信号は「チャネルスペクトラム(channel spectrum)」と表記される場合がある。
偏光解析モードで動作する場合(図1に示すように)、各検出器要素の位置で測定される干渉信号の強度は式(4)に対応する値となるが、「z」が非ゼロの光路長差の場合に一定であり、かつ波数kが変化する点が異なる。解析の間、電子プロセッサは、波長によって変わる検査表面の複素反射率を、式(4)の中の干渉を表わす交差項から、上に示したものと同様の解析方法を使用して求める。例えば、各検出器要素の位置での干渉信号をフーリエ変換し、フィルタリングして、変換信号の内、交差項に対応する部分を選択し、次に逆フーリエ変換して波長に関する信号の振幅及び位相を導出することができる。次に、この振幅及び位相を偏光解析パラメータに、上に説明した方法と同様の方法で関連付けることができる。形状測定モードで動作する場合(図3に示すように)、本実施形態の干渉信号はフーリエ変換することができ、そして種々の検出器要素に渡って行なわれる変換における非ゼロ光路長差座標での位相の変化は、検査表面の形状の関連変化となることができる。フーリエ変換における他の座標に基づく情報を解析して形状情報を提供することもできる。
従って、狭帯域可変波長光源を用いるこの実施形態は種々の動作モードで、かつ上に説明した種々の用途において動作することもできる。
更に別の実施形態
図1及び3に示す実施形態ではミラウ(Mirau)型の干渉対物レンズを用い、この場合、干渉対物レンズのビームスプリッタによって基準光を、検査光の光軸に沿って戻す方向に振り向ける。他の実施形態では、干渉計システム100は図1及び3に示す干渉対物レンズではなく、マイケルソン型干渉対物レンズのような異なるタイプの干渉対物レンズを使用することができ、この場合、ビームスプリッタによって基準光を、検査光の光軸から遠ざかるように振り向ける(例えば、ビームスプリッタを入力光に対して45度だけ傾け、検査光及び基準光が互いに対して直角に伝搬するようにする)。このような場合、基準表面を検査光の光路の外側に位置させることができる。
別の実施形態では、干渉対物レンズはリニク式(Linnik−type)干渉対物レンズであり、この場合、ビームスプリッタは検査表面の対物レンズの手前に配置され(入力光に対して)、そしてビームスプリッタによって検査光及び基準光を異なる光路に沿って振り向ける。別の対物レンズを使用して基準光を基準レンズに集光させる。別の表現をすると、ビームスプリッタによって入力光を検査光及び基準光に分離し、次に別の対物レンズによって検査光及び基準光を該当する検査表面及び基準表面に集光する。理想的には、2つの対物レンズを互いに整合させて検査光及び基準光が同じ収差特性及び光路を持つようにする。
更に別の干渉計構造を使用することもできる。例えば、システムは、検査サンプルを透過し、次に基準光と合成される検査光を収集するように構成することができる。このような実施形態では、例えばシステムは、2つの顕微鏡対物レンズを各脚に持つマッハツェンダ(Mach−Zehnder)型干渉計を用いることができる。
干渉計の光源は、ハロゲンバルブまたは金属ハロゲンランプのような、スペクトルバンドパスフィルタを持つ、または持たない白熱光源、広帯域レーザダイオード、発光ダイオード、同じタイプの、または異なるタイプの幾つかの光源を組み合わせた複合光源、アークランプ、可視スペクトル領域の光を放出する全ての光源、特に粗い表面を観察し、そして位相プロファイルの測定を行なうためのIRスペクトル領域の光を放出する全ての光源、及び特に横方向分解能を高めるためのUVスペクトル領域の光を放出する全ての光源の内のいずれかの光源とすることができる。広帯域用途では、光源は、平均波長の5%よりも広い、または更に好適には平均波長の10%,20%,30%、或いは50%よりも広い正味のスペクトル帯域を持つ。波長可変の狭帯域用途では、波長調整範囲は、広範囲の波長に渡る反射率情報を提供するために広い(可視光に関して50nm超,100nm超の範囲である、または200nmをも超える)ことが好ましく、スペクトル幅はいずれの特定の設定においても狭いことが好ましく、これによって、例えば分解能が10nm,2nm,または1nm程度に小さくなるように分解能を最適化する。光源は、光源から放出される入力光のスペクトル範囲を広げるために一つ以上の拡散板を含むこともできる。
更に、並進ステージ150のような、システムの種々の並進ステージは、圧電素子、ステッパーモータ、及びボイスコイルのいずれかにより駆動することができ、光路長変化を発生させるために、純粋な並進移動によるのではなく、光機械的に、または光電子的に(例えば、液晶、電気光学効果、歪み計測光ファイバ、及び回転波長板のいずれかを使用することにより)移動させることができ、屈曲マウントを備えるドライバ、及び機械ステージを備える全てのドライバのいずれか、例えばローラベアリングまたはエアベアリングによって駆動することができる。
電子検出器は、光干渉パターンを空間分解能で測定する、マルチエレメントCCDまたはCMOS検出器のようないずれかのタイプの検出器とすることができる。
ソフトウェア
上に説明した解析ステップはコンピュータプログラムで標準のプログラミング技術を使用して実行することができる。このようなプログラムは、複数のプログラム可能なコンピュータ、または複数の専用設計集積回路で実行されるように構成され、これらのコンピュータまたは集積回路の各々は、電子プロセッサと、データ保存システム(メモリ及び/又は記憶素子を含む)と、少なくとも一つの入力デバイスと、そしてディスプレイまたはプリンタのような少なくとも一つの出力デバイスと、を備える。プログラムコードを入力データ(例えば、検出器からの画像)に適用して本明細書に説明する機能を実行し、そして出力情報(例えば、屈折率情報、膜厚測定値(群)、表面プロファイル(群)など)を生成し、出力情報は一つ以上の出力デバイスに送信される。このようなコンピュータプログラムの各々は、高位プロシージャ言語またはオブジェクト指向プログラミング言語、或いはアセンブリ言語またはマシン言語で実行することができる。更に、言語はコンパイル言語または解釈言語とすることができる。このようなコンピュータプログラムの各々は、コンピュータ読み取り可能な記憶媒体(例えば、CD ROMまたは磁気ディスケット)に格納することができ、このコンピュータプログラムをコンピュータが読み取ると、コンピュータプログラムによってコンピュータのプロセッサは本明細書に記載する解析及び制御機能を実行することができる。
本発明の多数の実施形態について説明してきた。しかしながら、種々の変更を本発明の技術思想及び技術範囲から逸脱しない範囲において加えることができることが理解できるであろう。
102:広帯域光源; 122:基準表面; 122:検査電磁光線; 124:検査表面; 128:基準電磁光線; 134:マルチエレメント検出器; 136:光学系; 150:走査ステージ;

Claims (11)

  1. 広帯域光源と、
    前記広帯域光源から放出される検査電磁光線(検査EM光線)を検査表面に振り向け、かつ前記広帯域光源から放出される基準電磁光線(基準EM光線)を基準表面に振り向け、次にこれらの電磁光線を合成して光干渉パターンを形成するように構成される干渉計であって、瞳面を有する干渉対物レンズと、前記検査EM光線及び前記基準EM光線が前記光干渉パターンを形成するときに前記検査EM光線及び基準EM光線の相対光路長を調整する走査ステージとを含み、かつ前記走査ステージは前記光路長を前記広帯域光源のコヒーレンス長よりも長い距離に亘って変化させるように構成される、前記干渉計と
    マルチエレメント検出器と、
    前記瞳面の前記干渉パターンを前記マルチエレメント検出器に結像させて前記検出器の異なる要素が、前記検査表面を照射する前記検査EM光線の異なる照射角に対応するように構成される一つ以上の光学系と
    前記検出器に接続される電子プロセッサであって、前記電子プロセッサは、前記干渉パターンに関する情報を解析して、前記検査表面を有する検査オブジェクトに関する角度分解された情報を求めるように構成される、前記電子プロセッサと
    を備え
    前記電子プロセッサは、各要素により測定された干渉信号をフーリエ変換し、該フーリエ変換された周波数領域の干渉信号を解析し、前記広帯域光源の様々なスペクトル成分の位相及び振幅情報を抽出して、或る範囲の角度及び波長に渡る検査表面の複素反射率を提供するように更に構成される、システム。
  2. 前記干渉計は、前記広帯域光源から放出されるEM電磁光線を前記干渉対物レンズの前記瞳面に結像させる、請求項1に記載のシステム。
  3. 前記干渉対物レンズはミラウ型対物レンズである、請求項1に記載のシステム。
  4. 前記干渉対物レンズはマイケルソン型干渉対物レンズである、請求項1に記載のシステム。
  5. 前記干渉計は、前記一つ以上の光学系を選択的に調整して前記検査表面を前記検出器に結像させるように構成される、請求項1〜4のいずれか1項に記載のシステム。
  6. 前記検査EM光線は前記検査表面によって反射される、請求項1に記載のシステム。
  7. 前記検査EM光線は前記検査表面を透過する、請求項1に記載のシステム。
  8. 前記干渉計は更に一つ以上の偏光素子を含み、前記偏光素子は、前記検出器が測定する前記干渉パターンの偏光成分を調整可能に制御する位置に設けられる、請求項1〜7のいずれか1項に記載のシステム。
  9. 前記検査オブジェクトは、前記検査表面に隣接する少なくとも一つの層を有する、請求項に記載のシステム。
  10. 前記干渉計は更に前記検出器の位置を選択的に調整して検査表面を前記検出器上に結像するように構成される、請求項1に記載のシステム。
  11. 前記システムは更に第2の検出器を備え、前記干渉計は検査表面を前記第2の検出器上に結像するように構成される、請求項1に記載のシステム。
JP2009268962A 2005-01-20 2009-11-26 オブジェクト表面の特徴を求める干渉計 Active JP5107331B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US64544805P 2005-01-20 2005-01-20
US60/645,448 2005-01-20

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007552232A Division JP4768754B2 (ja) 2005-01-20 2006-01-19 オブジェクト表面の特徴を求める干渉計

Publications (2)

Publication Number Publication Date
JP2010101898A JP2010101898A (ja) 2010-05-06
JP5107331B2 true JP5107331B2 (ja) 2012-12-26

Family

ID=36218638

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007552232A Active JP4768754B2 (ja) 2005-01-20 2006-01-19 オブジェクト表面の特徴を求める干渉計
JP2009268962A Active JP5107331B2 (ja) 2005-01-20 2009-11-26 オブジェクト表面の特徴を求める干渉計

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007552232A Active JP4768754B2 (ja) 2005-01-20 2006-01-19 オブジェクト表面の特徴を求める干渉計

Country Status (8)

Country Link
US (4) US7428057B2 (ja)
EP (2) EP2108919B1 (ja)
JP (2) JP4768754B2 (ja)
KR (2) KR101006422B1 (ja)
AT (1) ATE441831T1 (ja)
DE (1) DE602006008896D1 (ja)
TW (2) TWI409451B (ja)
WO (1) WO2006078718A1 (ja)

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7102758B2 (en) 2003-05-06 2006-09-05 Duke University Fourier domain low-coherence interferometry for light scattering spectroscopy apparatus and method
EP1664932B1 (en) * 2003-09-15 2015-01-28 Zygo Corporation Interferometric analysis of surfaces
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005119169A2 (en) * 2004-04-19 2005-12-15 Arist Instruments, Inc. Beam profile complex reflectance system and method for thin film and critical dimension measurements
WO2005114096A2 (en) * 2004-05-18 2005-12-01 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
GB0415766D0 (en) * 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7428057B2 (en) * 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
DE102005022819A1 (de) * 2005-05-12 2006-11-16 Nanofocus Ag Verfahren zur Bestimmung der absoluten Dicke von nicht transparenten und transparenten Proben mittels konfokaler Messtechnik
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US20080296477A1 (en) * 2005-08-31 2008-12-04 U.S.A. as represented by the Administrator of the National Aeronautics and Space Adm. Optical system for inducing focus diversity
US8537366B2 (en) 2005-10-11 2013-09-17 Duke University Systems and methods for endoscopic angle-resolved low coherence interferometry
US7636168B2 (en) * 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7595889B2 (en) * 2005-10-11 2009-09-29 Duke University Systems and methods for endoscopic angle-resolved low coherence interferometry
CN1971256A (zh) * 2005-11-25 2007-05-30 鸿富锦精密工业(深圳)有限公司 透光薄膜瑕疵的检验方法
US8882270B2 (en) 2006-01-20 2014-11-11 Clarity Medical Systems, Inc. Apparatus and method for operating a real time large diopter range sequential wavefront sensor
US8356900B2 (en) * 2006-01-20 2013-01-22 Clarity Medical Systems, Inc. Large diopter range real time sequential wavefront sensor
CN101479563A (zh) * 2006-04-28 2009-07-08 麦克罗尼克激光系统公司 用于记录图像以及表面研究的方法和装置
DE102006028238B3 (de) * 2006-06-20 2007-07-19 Benecke-Kaliko Ag Verfahren zur Analyse der Reflexionseigenschaften
CN101500472B (zh) * 2006-07-21 2014-02-12 昂科斯科公司 特别是用于内窥镜应用的光纤探头的保护探头尖端
KR101519932B1 (ko) * 2006-12-22 2015-05-13 지고 코포레이션 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
US8213021B2 (en) * 2007-06-29 2012-07-03 Veeco Metrology, Inc. Interferometric measurement of non-homogeneous multi-material surfaces
TWI336767B (en) 2007-07-05 2011-02-01 Ind Tech Res Inst Method for calibration of image and apparatus for acquiring image
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
EP2188587A4 (en) * 2007-09-13 2017-01-18 Duke University Apparatuses, systems, and methods for low-coherence interferometry (lci)
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7978337B2 (en) 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning
KR100917912B1 (ko) * 2007-11-13 2009-09-16 한국표준과학연구원 단일 편광자 초점 타원계측기
KR20090049951A (ko) * 2007-11-14 2009-05-19 한국표준과학연구원 선형 초점 타원계측기
FR2923905B1 (fr) * 2007-11-19 2014-09-05 Centre Nat Etd Spatiales Procede et dispositif pour l'inversion interferometrique a echantillonnage libre
KR101254161B1 (ko) 2007-12-14 2013-04-18 지고 코포레이션 주사 간섭계를 사용해서 표면 구조를 분석하는 방법 및 장치
US20090177094A1 (en) * 2008-01-08 2009-07-09 Oncoscope, Inc. Systems and methods for tissue examination, diagnostic, treatment, and/or monitoring
KR100988454B1 (ko) * 2008-01-31 2010-10-18 에스엔유 프리시젼 주식회사 두께 측정방법
US8248617B2 (en) * 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
KR101556430B1 (ko) 2008-06-03 2015-10-01 환 제이. 정 간섭 결함 검출 및 분류
US7864334B2 (en) * 2008-06-03 2011-01-04 Jzw Llc Interferometric defect detection
US7986412B2 (en) 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
JP5336772B2 (ja) 2008-06-04 2013-11-06 オリンパス株式会社 顕微鏡システム、及び、変倍方法
US8618508B2 (en) * 2008-09-25 2013-12-31 Koninklijke Philips N.V. Detection system and method
US8004688B2 (en) 2008-11-26 2011-08-23 Zygo Corporation Scan error correction in low coherence scanning interferometry
US8130904B2 (en) 2009-01-29 2012-03-06 The Invention Science Fund I, Llc Diagnostic delivery service
US8116429B2 (en) 2009-01-29 2012-02-14 The Invention Science Fund I, Llc Diagnostic delivery service
US8107084B2 (en) 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
TWI447351B (zh) * 2009-02-24 2014-08-01 Univ Nat Taipei Technology 正交偏極式Mirau干涉術以及其分光模組與干涉系統
WO2010100644A1 (en) * 2009-03-04 2010-09-10 Elie Meimoun Wavefront analysis inspection apparatus and method
EP2454554B1 (en) * 2009-06-19 2015-08-12 Zygo Corporation Equal-path interferometer
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
US8559014B2 (en) * 2009-09-25 2013-10-15 Hwan J. Jeong High-resolution, common-path interferometric imaging systems and methods
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
DE102010015944B4 (de) * 2010-01-14 2016-07-28 Dusemund Pte. Ltd. Dünnungsvorrichtung mit einer Nassätzeinrichtung und einer Überwachungsvorrichtung sowie Verfahren für ein in-situ Messen von Waferdicken zum Überwachen eines Dünnens von Halbleiterwafern
US9823127B2 (en) 2010-01-22 2017-11-21 Duke University Systems and methods for deep spectroscopic imaging of biological samples with use of an interferometer and spectrometer
WO2011091369A1 (en) 2010-01-22 2011-07-28 Duke University Multiple window processing schemes for spectroscopic optical coherence tomography (oct) and fourier domain low coherence interferometry
TWI398623B (zh) * 2010-03-11 2013-06-11 Chroma Ate Inc Measurement method of interference system
TWI407078B (zh) * 2010-06-08 2013-09-01 Chung Shan Inst Of Science Micro - lens array surface profile detection system and its detection method
KR101174274B1 (ko) 2010-09-13 2012-08-16 케이맥(주) 간섭계와 2차원-반사광도계의 측정이 가능한 복합시편 표면특성 측정장치
US8854628B2 (en) 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
DE102010041556A1 (de) 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung
TWI448789B (zh) * 2010-10-07 2014-08-11 Innolux Corp 光配向製程與使用此光配向製程的液晶顯示裝置
US20120089365A1 (en) * 2010-10-08 2012-04-12 Zygo Corporation Data interpolation methods for metrology of surfaces, films and underresolved structures
JP2012122768A (ja) * 2010-12-06 2012-06-28 National Central Univ 光学マルチ波長インターフェロメトリーを使用した薄膜素子測定方法
JP5794664B2 (ja) * 2011-01-20 2015-10-14 キヤノン株式会社 断層画像生成装置及び断層画像生成方法
US8890073B2 (en) 2011-03-28 2014-11-18 Northrop Grumman Guidance And Electronics Company, Inc. Systems and methods for detecting and/or identifying materials based on electromagnetic radiation
JP5821029B2 (ja) * 2011-07-22 2015-11-24 パナソニックIpマネジメント株式会社 偏光解析装置
KR20130028370A (ko) * 2011-09-09 2013-03-19 삼성전자주식회사 영상 모델링 시스템에서 형상 정보, 재질 정보 및 조명 정보를 획득하는 장치 및 방법
TW201326737A (zh) * 2011-12-30 2013-07-01 Metal Ind Res & Dev Ct 孔洞表面形貌量測系統及方法
JP5965167B2 (ja) * 2012-03-16 2016-08-03 株式会社ミツトヨ 白色光干渉測定装置
US9121705B2 (en) * 2012-04-20 2015-09-01 Massachusetts Institute Of Technology Sensor for simultaneous measurement of thickness and lateral position of a transparent object
EP2662661A1 (de) * 2012-05-07 2013-11-13 Leica Geosystems AG Messgerät mit einem Interferometer und einem ein dichtes Linienspektrum definierenden Absorptionsmedium
US20130301056A1 (en) * 2012-05-10 2013-11-14 Robert E. Parks Noncontact interferometric sensor and method of use
US8896827B2 (en) 2012-06-26 2014-11-25 Kla-Tencor Corporation Diode laser based broad band light sources for wafer inspection tools
US9036157B2 (en) * 2012-10-19 2015-05-19 National Applied Research Laboratories System of computing surface reconstruction, in-plane and out-of-plane displacements and strain distribution
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
JP2014095642A (ja) * 2012-11-09 2014-05-22 Mitsutoyo Corp 干渉対物レンズ光学系及び当該干渉対物レンズ光学系を備えた光干渉測定装置
US11099068B2 (en) * 2016-03-04 2021-08-24 Filmetrics, Inc. Optical instrumentation including a spatially variable filter
US20180252518A1 (en) * 2013-01-16 2018-09-06 Scott A. Chalmers Optical profilometer
US20170314914A1 (en) * 2016-04-28 2017-11-02 Scott A. Chalmers Optical profilometer
US10398306B2 (en) * 2013-03-07 2019-09-03 Nanyang Technological University Optical imaging device and method for imaging a sample
US9909982B2 (en) * 2013-03-08 2018-03-06 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
WO2014138522A1 (en) * 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
DE102013005187A1 (de) * 2013-03-20 2014-09-25 Carl Zeiss Microscopy Gmbh Verfahren zur Ermittlung von Rauheit- und/oder Topographiedaten von Oberflächen in der Materialmikroskopie
DE102013205115A1 (de) * 2013-03-22 2014-09-25 Leica Microsystems Cms Gmbh SPIM-Anordnung
US9619878B2 (en) * 2013-04-16 2017-04-11 Kla-Tencor Corporation Inspecting high-resolution photolithography masks
JP2015031649A (ja) 2013-08-06 2015-02-16 セイコーエプソン株式会社 測色装置
US9494531B2 (en) * 2013-08-09 2016-11-15 Kla-Tencor Corporation Multi-spot illumination for improved detection sensitivity
US9857160B1 (en) 2013-09-24 2018-01-02 TVS Holdings, LLC Multi-mode frequency sweeping interferometer and method of using same
KR101479249B1 (ko) * 2013-11-08 2015-01-05 한국표준과학연구원 간섭성 구조조명 이미징 방법 및 간섭성 구조조명 현미경 시스템
US9851433B2 (en) * 2013-12-19 2017-12-26 DSCG Solutions, Inc. Single laser LIDAR system
CN106030241B (zh) * 2014-01-09 2019-10-01 齐戈股份有限公司 测量非球面和其它非平坦表面的形貌
US9335146B1 (en) 2014-01-29 2016-05-10 The United States Of America As Represented By The Secretary Of The Navy Dimensional measurement apparatus for a cylindrical object
US9651356B1 (en) 2014-01-29 2017-05-16 The United States Of America As Represented By The Secretary Of The Navy Measuremental evaluation of dimensional tolerancing compliance of a cylindrical object
CN105992934B (zh) * 2014-02-21 2020-09-22 Abb电网瑞士股份公司 干涉测定传感器
TWI479119B (zh) * 2014-03-06 2015-04-01 Nat Univ Chung Hsing Optical measuring device and optical measuring method
US10161885B2 (en) * 2014-04-07 2018-12-25 Nova Measuring Instruments Ltd. Optical phase measurement method and system
WO2015183994A1 (en) 2014-05-28 2015-12-03 Santec Corporation Non-invasive optical measurement of blood analyte
CN104034271B (zh) * 2014-06-19 2016-08-24 清华大学 一种横向分辨率达到1nm的干涉旋转映射检测方法
TWI599758B (zh) 2014-08-12 2017-09-21 賽格股份有限公司 校正掃描干涉術成像系統之方法、掃描干涉術成像系統、非暫態電腦可讀取媒體、以及校正具有寬頻光源之掃描干涉術成像系統之方法
GB2529251A (en) * 2014-08-15 2016-02-17 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a sample
KR101711192B1 (ko) 2014-08-19 2017-03-14 삼성전자 주식회사 전기-광학 변조기, 및 그 전기-광학 변조기를 포함한 검사 장치
US9976947B1 (en) 2014-11-24 2018-05-22 TVS Holdings, LLC Position measurement device
CN107209116B (zh) 2014-12-23 2020-08-07 苹果公司 包括考虑样本内的光学路径长度的变化的光学检查系统和方法
US10548520B2 (en) 2015-04-01 2020-02-04 Santec Corporation Non-invasive optical measurement of blood analyte
US20180143147A1 (en) * 2015-05-11 2018-05-24 Board Of Regents, The University Of Texas System Optical-coherence-tomography guided additive manufacturing and laser ablation of 3d-printed parts
US10426336B2 (en) 2015-06-01 2019-10-01 Santec Corporation Optical coherence tomography system combining two wavelengths
JP6815336B2 (ja) * 2015-06-30 2021-01-20 コーニング インコーポレイテッド 静的縞パターンを使用した干渉ロールオフ測定
WO2017040431A1 (en) 2015-09-01 2017-03-09 Bribbla Dynamics Llc Reference switch architectures for noncontact sensing of substances
TWI701459B (zh) * 2015-09-23 2020-08-11 美商克萊譚克公司 用於多波束掃描式電子顯微系統之聚焦調整之方法及系統
DE102015118483B3 (de) * 2015-10-29 2017-05-04 Leica Microsystems Cms Gmbh Interferenzobjektiv nach Mirau
US9574992B1 (en) * 2016-01-22 2017-02-21 Kla-Tencor Corporation Single wavelength ellipsometry with improved spot size capability
JP6692658B2 (ja) * 2016-02-25 2020-05-13 株式会社ミツトヨ 内壁測定装置及びオフセット量算出方法
GB2550539A (en) 2016-03-21 2017-11-29 Res Center Pharmaceutical Engineering Gmbh Monitoring dissolution of a dosage form in progress during dissolution by low coherence interferometry
US10107615B2 (en) 2016-04-20 2018-10-23 Quality Vision International, Inc. Remote probe for optical measuring machine
AU2017253712B8 (en) 2016-04-21 2019-11-21 Apple Inc. Optical system for reference switching
US10677580B2 (en) 2016-04-27 2020-06-09 Santec Corporation Optical coherence tomography system using polarization switching
GB2551968A (en) 2016-06-28 2018-01-10 Oclaro Tech Ltd Optical locker
JP6767790B2 (ja) * 2016-06-30 2020-10-14 株式会社ディスコ 計測装置及び色収差光学系
US9993153B2 (en) 2016-07-06 2018-06-12 Santec Corporation Optical coherence tomography system and method with multiple apertures
JP6730125B2 (ja) * 2016-08-01 2020-07-29 株式会社ディスコ 計測装置
JP6730124B2 (ja) * 2016-08-01 2020-07-29 株式会社ディスコ 厚み計測装置
CN110836633B (zh) * 2016-11-18 2022-06-14 齐戈股份有限公司 用于优化干涉仪的光学性能的方法及设备
US10288408B2 (en) * 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
JP6905357B2 (ja) * 2017-03-09 2021-07-21 株式会社ディスコ ウエーハのうねり検出方法及び研削装置
US10107614B1 (en) 2017-04-18 2018-10-23 Quality Vision International, Inc. Optical pen for interferometric measuring machine
US10422700B1 (en) * 2017-04-24 2019-09-24 Apre Instruments, Inc. Optical alignment based on spectrally-controlled interferometry
US10426337B2 (en) 2017-06-01 2019-10-01 Santec Corporation Flow imaging in an optical coherence tomography (OCT) system
US10408600B2 (en) * 2017-06-22 2019-09-10 Santec Corporation Optical coherence tomography with a fizeau-type interferometer
US10206567B2 (en) 2017-07-12 2019-02-19 Santec Corporation Dual wavelength resampling system and method
DE102017115922C5 (de) * 2017-07-14 2023-03-23 Precitec Gmbh & Co. Kg Verfahren und Vorrichtung zur Messung und Einstellung eines Abstands zwischen einem Bearbeitungskopf und einem Werkstück sowie dazugehöriges Verfahren zur Regelung
US10705105B2 (en) 2017-07-21 2020-07-07 Applied Concepts, Inc. Absolute speed detector
CN116893160A (zh) 2017-09-29 2023-10-17 苹果公司 路径解析的光学采样架构
US10948284B1 (en) * 2017-10-06 2021-03-16 Filmetrics, Inc. Optical profilometer with color outputs
US10502546B2 (en) 2017-11-07 2019-12-10 Santec Corporation Systems and methods for variable-range fourier domain imaging
EP3752873A1 (en) 2018-02-13 2020-12-23 Apple Inc. Integrated photonics device having integrated edge outcouplers
US11213200B2 (en) 2018-03-22 2022-01-04 Santec Corporation Topographical imaging using combined sensing inputs
US11067671B2 (en) 2018-04-17 2021-07-20 Santec Corporation LIDAR sensing arrangements
US10838047B2 (en) 2018-04-17 2020-11-17 Santec Corporation Systems and methods for LIDAR scanning of an environment over a sweep of wavelengths
US11262191B1 (en) * 2018-07-12 2022-03-01 Onto Innovation Inc. On-axis dynamic interferometer and optical imaging systems employing the same
CA3103494A1 (en) * 2018-07-16 2020-01-23 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften E.V. Interferometry with an achromatic interferometric superposition of electromagnetic fields
DE102018211853A1 (de) 2018-07-17 2020-01-23 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung der Oberflächenform eines optischen Elements
US10295476B1 (en) * 2018-08-14 2019-05-21 Applied Materials Israel Ltd. System and method for multiple mode inspection of a sample
JP2020122930A (ja) * 2019-01-31 2020-08-13 キヤノン株式会社 計測装置、露光装置及び物品の製造方法
JP7296844B2 (ja) * 2019-10-08 2023-06-23 株式会社ミツトヨ 解析装置、解析方法、干渉測定システム、およびプログラム
TWI733442B (zh) * 2019-11-14 2021-07-11 財團法人工業技術研究院 光學量測系統
US11507020B2 (en) 2019-11-14 2022-11-22 Industrial Technology Research Institute Optical measurement system for obtaining and analyzing surface topography of object
JP7469867B2 (ja) * 2019-11-26 2024-04-17 三星電子株式会社 エリプソメータ及び半導体装置の検査装置
JP7277610B2 (ja) * 2019-12-12 2023-05-19 株式会社エビデント 試料構造測定装置及び試料構造測定方法
US11530952B2 (en) 2020-01-17 2022-12-20 Spectrove Inc. MEMS device for interferometric spectroscopy
JP7471938B2 (ja) 2020-07-03 2024-04-22 三星電子株式会社 エリプソメータ及び半導体装置の検査装置
JP7420667B2 (ja) 2020-07-03 2024-01-23 三星電子株式会社 エリプソメータ及び半導体装置の検査装置
KR20220032922A (ko) 2020-09-08 2022-03-15 삼성전자주식회사 퓨필 타원 편광 계측 장치 및 방법, 및 그 방법을 이용한 반도체 소자 제조방법
EP4176304A1 (en) 2020-09-09 2023-05-10 Apple Inc. Optical system for noise mitigation
KR102305193B1 (ko) * 2021-01-28 2021-09-27 에이치비솔루션(주) 백색광주사간섭계를 이용한 투명막 굴절률 측정 방법
US11761753B2 (en) 2021-07-30 2023-09-19 Svarog LLC Thin films and surface topography measurement using polarization resolved interferometry
US20230236125A1 (en) * 2022-01-21 2023-07-27 Onto Innovation Inc. Dynamic phase-shift interferometer utilizing a synchronous optical frequency-shift
TWI827032B (zh) * 2022-04-30 2023-12-21 合盈光電科技股份有限公司 光學元件相位疊合系統

Family Cites Families (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (de) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
US4576479A (en) 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS60127403A (ja) 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) * 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US5151752A (en) * 1988-06-16 1992-09-29 Asahi Kogaku Kogyo K.K. Method of measuring refractive indices of lens and sample liquid
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
EP0566657B1 (en) * 1991-01-11 1998-08-05 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
DE69231715D1 (de) 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
DE4108944A1 (de) 1991-03-19 1992-09-24 Haeusler Gerd Verfahren und einrichtung zur beruehrungslosen erfassung der oberflaechengestalt von diffus streuenden objekten
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
JPH04313006A (ja) * 1991-04-10 1992-11-05 Toyobo Co Ltd 膜厚測定方法
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) * 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (de) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Verfahren und Vorrichtung zur Ermittlung der Entfernung und Streuintensität von streuenden Punkten
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) * 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5748318A (en) 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
GB9610471D0 (en) * 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
JP3459327B2 (ja) 1996-06-17 2003-10-20 理化学研究所 積層構造体の層厚および屈折率の測定方法およびその測定装置
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JP3275797B2 (ja) 1997-09-10 2002-04-22 松下電器産業株式会社 低圧水銀蒸気放電ランプ
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US6031615A (en) * 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6407816B1 (en) 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
USH1972H1 (en) 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
JP3569726B2 (ja) 1998-12-15 2004-09-29 独立行政法人理化学研究所 試料の幾何学的厚さおよび屈折率測定装置およびその測定方法
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP3642996B2 (ja) 1999-11-18 2005-04-27 独立行政法人科学技術振興機構 光干渉法による測定対象物の屈折率と厚さの同時測定方法及びそのための装置
US6545761B1 (en) * 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
AU2001260975A1 (en) 2000-01-25 2001-08-20 Zygo Corporation Optical systems for measuring form and geometric dimensions of precision engineered parts
JP4673955B2 (ja) 2000-03-24 2011-04-20 オリンパス株式会社 光学装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6449048B1 (en) 2000-05-11 2002-09-10 Veeco Instruments, Inc. Lateral-scanning interferometer with tilted optical axis
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US6847029B2 (en) 2000-07-27 2005-01-25 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
EP1303778A2 (en) 2000-07-27 2003-04-23 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2002093094A (ja) * 2000-09-11 2002-03-29 Hitachi Electronics Eng Co Ltd 磁気ヘッド浮上量測定装置
US6917419B2 (en) * 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
ATE464534T1 (de) 2000-11-02 2010-04-15 Zygo Corp Verfahren und vorrichtung zur höhenabtastenden interferometrie mit phasendifferenz-analyse
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
US6909509B2 (en) 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
US6624894B2 (en) * 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
EP1430270A4 (en) * 2001-09-21 2006-10-25 Kmac METHOD AND DEVICE FOR MEASURING THE THICK PROFILE AND THE DISTRIBUTION OF THIN FILM MULTI-LAYER REFRACTIVE INDICES BY TWO-DIMENSIONAL REFLECTOMETRY
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
KR100437024B1 (ko) 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
KR100354613B1 (ko) * 2001-11-06 2002-10-11 박헌휘 교체 가능한 침지형 중공사막 모듈
US7030995B2 (en) * 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) * 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
KR100434445B1 (ko) * 2001-12-28 2004-06-04 (주) 인텍플러스 3차원 형상/표면조도 측정장치
CN1320334C (zh) 2002-03-14 2007-06-06 泰勒·霍布森有限公司 表面成型设备和获得数据方法,数据处理设备及其相关器
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
US7068376B2 (en) * 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
AU2003241356A1 (en) 2002-05-02 2003-11-17 Zygo Corporation Phase gap analysis for scanning interferometry
DE10392828T5 (de) * 2002-06-17 2005-07-21 Zygo Corp., Middlefield Interferometrieverfahren und -systeme mit gekoppelter Hohlraumgeometrie zur Verwendung mit einer erweiterten Quelle
WO2003106921A1 (en) * 2002-06-17 2003-12-24 Zygo Corporation Interferometric optical system and methods providing simultaneously scanned optical path length and focus
WO2004003463A2 (en) * 2002-07-01 2004-01-08 Lightgage, Inc. Interferometer system of compact configuration
JP2004069651A (ja) * 2002-08-09 2004-03-04 Omron Corp 膜厚測定装置
JP4313006B2 (ja) 2002-08-30 2009-08-12 コニカミノルタビジネステクノロジーズ株式会社 画像形成方法
WO2004023071A1 (en) * 2002-09-09 2004-03-18 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
WO2004079294A2 (en) * 2003-03-06 2004-09-16 Zygo Corporation Characterizing and profiling complex surface structures using scanning interferometry
US6985232B2 (en) * 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) * 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) * 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
DE10327019A1 (de) 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US6956716B2 (en) 2003-07-30 2005-10-18 Hitachi Global Storage Technologies Netherlands, B.V. Magnetic head having multilayer heater for thermally assisted write head and method of fabrication thereof
FI20031143A0 (fi) 2003-08-08 2003-08-08 Wallac Oy Optinen fokusointimenetelmä ja -järjestely
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
EP1664932B1 (en) * 2003-09-15 2015-01-28 Zygo Corporation Interferometric analysis of surfaces
TWI335417B (en) * 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005067579A2 (en) * 2004-01-06 2005-07-28 Zygo Corporation Multi-axis interferometers and methods and systems using multi-axis interferometers
US20050179911A1 (en) * 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
WO2005119169A2 (en) * 2004-04-19 2005-12-15 Arist Instruments, Inc. Beam profile complex reflectance system and method for thin film and critical dimension measurements
US7277183B2 (en) * 2004-04-22 2007-10-02 Zygo Corporation Vibration resistant interferometry
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
WO2005114096A2 (en) 2004-05-18 2005-12-01 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) * 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7428057B2 (en) * 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
JP2006214856A (ja) 2005-02-03 2006-08-17 Canon Inc 測定装置及び方法
EP1883781B1 (en) 2005-05-19 2019-08-07 Zygo Corporation Analyzing low-coherence interferometry signals for thin film structures
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7636168B2 (en) * 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
EP1946412A2 (en) 2005-10-11 2008-07-23 Clear Align LLC Apparatus and method for generating short optical pulses
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) * 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
WO2007101026A2 (en) * 2006-02-24 2007-09-07 The General Hospital Corporation Methods and systems for performing angle-resolved fourier-domain optical coherence tomography
US7522288B2 (en) * 2006-07-21 2009-04-21 Zygo Corporation Compensation of systematic effects in low coherence interferometry
KR101519932B1 (ko) * 2006-12-22 2015-05-13 지고 코포레이션 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US7978337B2 (en) * 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning

Also Published As

Publication number Publication date
WO2006078718A1 (en) 2006-07-27
US20060158657A1 (en) 2006-07-20
KR20070104615A (ko) 2007-10-26
JP4768754B2 (ja) 2011-09-07
US20060158658A1 (en) 2006-07-20
TWI409451B (zh) 2013-09-21
EP2108919A3 (en) 2010-05-05
US20060158659A1 (en) 2006-07-20
JP2008528972A (ja) 2008-07-31
US7446882B2 (en) 2008-11-04
US7616323B2 (en) 2009-11-10
JP2010101898A (ja) 2010-05-06
EP2108919B1 (en) 2015-03-11
KR101006422B1 (ko) 2011-01-06
TW201312094A (zh) 2013-03-16
KR101006423B1 (ko) 2011-01-06
TW200632306A (en) 2006-09-16
ATE441831T1 (de) 2009-09-15
US20100134786A1 (en) 2010-06-03
TWI428582B (zh) 2014-03-01
US7952724B2 (en) 2011-05-31
US7428057B2 (en) 2008-09-23
EP1853874B1 (en) 2009-09-02
EP2108919A2 (en) 2009-10-14
KR20090073221A (ko) 2009-07-02
EP1853874A1 (en) 2007-11-14
DE602006008896D1 (de) 2009-10-15

Similar Documents

Publication Publication Date Title
JP5107331B2 (ja) オブジェクト表面の特徴を求める干渉計
US7884947B2 (en) Interferometry for determining characteristics of an object surface, with spatially coherent illumination
TWI439661B (zh) 用於在干涉儀產生規格信號之方法及系統
US7924435B2 (en) Apparatus and method for measuring characteristics of surface features
US8248617B2 (en) Interferometer for overlay measurements
JP5352506B2 (ja) 薄膜構造の特性評価を含む、偏光解析、反射光測定および散乱光測定のための干渉計法
US8854628B2 (en) Interferometric methods for metrology of surfaces, films and underresolved structures
US20120089365A1 (en) Data interpolation methods for metrology of surfaces, films and underresolved structures
WO2008151266A2 (en) Interferometry for determining characteristics of an object surface, with spatially coherent illumination

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111011

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120517

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121003

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5107331

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151012

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250