JP4942657B2 - 液体凝固の酸素阻害を減衰させる重合技術及びそのための組成物 - Google Patents

液体凝固の酸素阻害を減衰させる重合技術及びそのための組成物 Download PDF

Info

Publication number
JP4942657B2
JP4942657B2 JP2007532433A JP2007532433A JP4942657B2 JP 4942657 B2 JP4942657 B2 JP 4942657B2 JP 2007532433 A JP2007532433 A JP 2007532433A JP 2007532433 A JP2007532433 A JP 2007532433A JP 4942657 B2 JP4942657 B2 JP 4942657B2
Authority
JP
Japan
Prior art keywords
polymerizable liquid
mold
liquid composition
substrate
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007532433A
Other languages
English (en)
Other versions
JP2008513577A (ja
Inventor
シュ,フランク・ワイ
フレッチャー,エドワード・ビイ
ラド,パンカジ・ビイ
ワッツ,マイケル・ピイ・シイ
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2008513577A publication Critical patent/JP2008513577A/ja
Application granted granted Critical
Publication of JP4942657B2 publication Critical patent/JP4942657B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/02Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a matt or rough surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P31/00Antiinfectives, i.e. antibiotics, antiseptics, chemotherapeutics
    • A61P31/04Antibacterial agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Public Health (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Pharmacology & Pharmacy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Veterinary Medicine (AREA)
  • Oncology (AREA)
  • Communicable Diseases (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Polymerisation Methods In General (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Gas Separation By Absorption (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Description

連邦政府支援による研究又は開発に関する記述
米国政府は、本発明における一括払いライセンス、及び米国標準局(NIST)ATP裁定によって裁定された70NANB4H3012の条項に規定される、特許権者に対し、他者に穏当な条件で使用許諾をすることを要求する、限定された状況における権利を有する。
発明の分野は、一般に構造物の微細加工に関する。より詳細には、本発明は、インプリントリソグラフィでの使用に適した重合技術を対象とする。
微細加工は、例えば、約数マイクロメートル以下のフィーチャを有する非常に小さな構造物の加工を含む。微細加工が相当大きな影響を与えた1つの分野が集積回路の処理である。半導体処理産業が、基板上に形成される単位面積当たりの回路を増加させながら、より高い製品歩留まりを得るために努力し続けているので、微細加工はますます重要になっている。微細加工は、形成される構造物の最小のフィーチャ寸法の減少を進めることを可能にしながら、より優れたプロセス制御を提供する。微細加工が使われてきた他の開発領域には、バイオテクノロジー、光学技術、機械系等がある。
典型的な微細加工技術は、一般にインプリントリソグラフィと呼ばれており、本発明の譲受人に全てが譲渡された、特許文献1(発明の名称「METHOD AND A MOLD TO ARRANGE FEATURES ON A SUBSTRATE TO REPLICATE FEATURES HAVING MINIMAL DIMENSIONAL VARIABILITY」)、特許文献2(発明の名称「METHOD OF FORMING A LAYER ON A SUBSTRATE TO FACILITATE FABRICATION OF METROLOGY STANDARDS」)及び特許文献3(発明の名称「METHOD AND A MOLD TO ARRANGE FEATURES ON A SUBSTRATE TO REPLICATE FEATURES HAVING MINIMAL DIMENSIONAL VARIABILITY」)のような多数の公報に詳細に記載されている。前述の公開された特許出願の各々に示したような、基本的なインプリントリソグラフィ技術は、重合可能な層内でのレリーフパターン形成、及び構造物内にレリーフ像を形成する下層基板にレリーフ像を転写することを含む。そのために、テンプレートは基板から間隔を置いて用いられ、テンプレートと基板の間に形成可能な液体が存在する。液体は、凝固し、液体と接触するテンプレート表面の形状に一致する、内部に記録されたパターンを有する凝固層を形成する。基板と凝固層は、次に凝固層内のパターンに対応するレリーフ構造物を基板に転写する工程を受ける。
重合可能な液体が、テンプレートと基板の間に置かれる一方法は、複数の液体小滴を基板上に堆積させることによる。その後、重合可能な液体を基板表面にわたって塗布し、かつその後に内部にパターンを記録するために、テンプレートによる重合可能な液体との接触がなされる。重合可能な液体が基板にわたって広がるとき、空気のようなガスの閉じ込めを回避することが非常に望ましい。
米国特許出願公開第2004/0065976号明細書 米国特許出願公開第2004/0065252号明細書 米国特許第6936194号明細書
従って、内部にガスを閉じ込めることを最小限に抑えながら、基板上に流体層を形成する方法を提供することが望まれる。
本発明は、重合可能な液体を取り囲む大気中に含まれる酸素による重合工程の阻害を最小限に抑えることを特徴とする、基板上にフィルムを形成するために重合可能な液体を凝固させる方法を含む。そのために、重合可能な液体は、重合可能な液体と相互作用する酸素を消費し、かつ重合工程を容易にするために追加の遊離基を発生させる開始剤又は添加剤をとりわけ含む。具体的には、本方法は、複数の分子を一緒に連結するのを開始させるために、重合可能な液体を化学線に暴露させることによって、遊離基の一次集団を作ることを含む。遊離基の二次集団は、一次集団の遊離基のサブセットとの液体を取り囲む大気の分子の相互作用によって発生する。遊離基の三次集団が、複数の分子の追加の分子を一緒に連結するために、二次集団の遊離基との複数の分子の相互作用によって発生する。これら及びその他の実施態様は、以下でより十分に論じる。
図1は、ブリッジ14と、その間に延びるステージ支持体16を有する一対の離間ブリッジ支持体12を含む本発明の一実施形態に従ったリソグラフィックシステム10を描いている。ブリッジ14と、ステージ支持体16は離れている。ブリッジ14に結合されているのは、ブリッジ14からステージ支持体16に向かって延びるインプリントヘッド18である。インプリントヘッド18に面するようにステージ支持体16に配置されているのは、移動ステージ20である。移動ステージ20は、X、Y軸に沿ってステージ支持体16に対して移動するように構成されており、かつ同様にZ軸に沿った移動も行うことができる。放射線源22が、化学線を移動ステージ20に当てるためにシステム10に結合されている。示すように、放射線源22は、ブリッジ14に結合され、かつ放射線源22に接続された発電機23を含む。
図1、2の両方を参照すると、インプリントヘッド18に接続されているのは、モールド26を有するテンプレート24であり、モールドは形成されたパターンを有する平滑又は平坦な面を備えている。示すように、モールド26は、複数の離間した凹所28と突出部30によって形成された複数のフィーチャを有するパターンを含む。突出部30は幅W1を有し、かつ凹所28は幅W2を有し、その両方とも、Z軸に対して横断方向に延びる方向で測定される。複数のフィーチャは、移動ステージ20上に位置決めされる基板32に転写されるパターンの基礎を形成する元のパターンである。そのために、インプリントヘッド18は、Z軸に沿って動き、かつモールド26と基板32の間の距離「d」を変えるように構成されている。あるいは、インプリントヘッド18と共同して、移動ステージ20は、Z軸に沿ってテンプレート24を動かすことができる。このようにして、モールド26上のフィーチャが、以下で更に十分に論ずるように、基板32の流動性領域にインプリントされる。
放射線源22は、モールド26が放射線源22と基板32の間に位置決めされるように置かれ、放射線源22によって発生する化学線が、モールド26を通って伝播する。その結果、モールド26は化学線に実質的に透明な材料から製造されることが望ましい。モールド26を製造できる典型的な材料には、用いられる化学線によって、溶融石英、石英、シリコン、有機ポリマー、シロキサンポリマー、ホウケイ酸ガラス、フルオロカーボンポリマー、金属、その他上記の組合せが含まれる。典型的なシステムは、1807−C Braker Lane、Suite 100、Austin、Texas 78758に事業所を有するMolecular Imprints,Inc.からのIMPRIO 100(商標)という商品名で入手可能である。IMPRIO 100(商標)に関するシステム記述は、www.molecularimprints.comで入手可能である。
図2、3の両方を参照すると、インプリント層34のような流動性領域が、モールド26を向く面である、平坦でないにせよ実質的に平滑なプロフィールを示す表面36の一部に形成される。本発明の一実施形態において、流動性領域は、基板32上にインプリント材料の複数の離間した離散小滴38として堆積されれる。具体的には、小滴38は、小滴38のインプリント材料が、記録されたパターン134として図4により明瞭に示すように、表面36上につながった層を形成させるために結合させられた時に、ガスの閉じ込めを最小限に抑えるパターン100内の表面36にわたって配置される。
図2、4の両方を参照すると、インプリント材料は、以下で論じるように、その後に凝固させる記録されたパターン134を形成するように、元のパターンの逆のパターンを記録するために、選択的に重合し、かつ架橋される。モールド26上の複数のフィーチャは、モールド26の断面に狭間胸壁の形を与える突出部30と平行な方向に沿って延びる凹所28として示される。しかしながら、凹所28と、突出部30は、事実上いかなる所望のフィーチャにも対応でき、かつ0.数ナノメートルくらいに小さくても良い:集積回路の形成を容易にするようなフィーチャである。
図2、5の両方を参照すると、記録されたパターン134は、モールド26とのインプリント材料の相互作用、例えば機械的接触、電気的接触等によって部分的に生成される。代表的な実施形態において、距離「d」が、インプリント層34がモールド26と機械的に接触できるように減少させられる。それに応じて、表面36にわたるインプリント材料のつながった形状を形成するために、小滴38状のインプリント材料が広がり、一連の中間パターンを形成する。その1つをパターン200として示す。一実施形態において、距離「d」は、記録されたパターン134のサブ部分46が凹所28に入り、かつ満たせるように減少させられる。接触が発生する前に、表面36と液滴38の両方とモールド26との間に、例えば1平方インチ当たり5ポンド(psi)で流されるヘリウムガスによって、容積をパージすることが望ましい。代表的なパージ技術は、2003年10月2日に出願された米国特許出願第10/677639号明細書(発明の名称「SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD」)に開示される。
本実施形態において、突出部30と重ね合わされる記録されたパターン134のサブ部分48が、所望の、通常は最小距離「d」に達した後に残留する、厚さt1のサブ部分46と厚さt2のサブ部分48を残す。厚さt2は残留厚さと呼ばれる。厚さ「t1」と「t2」は、用途によって、所望のいかなる厚さであってもよい。小滴38に含まれる全容積は、すなわちモールド26と表面36によるインプリント材料の毛管引力と、インプリント材料の表面接着によって、所望の厚さt1及びt2を得ながら、多量のインプリント材料が、モールド26と重ね合わされる表面36の領域を越えて広がるのを最小に抑える又は回避するようになっている。
図2、3を再び参照すると、所望の距離「d」に達した後に、放射線源22は、インプリント材料を重合し、かつ架橋する化学線を生成し、記録されたパターン134を凝固させる。インプリント層34の組成物は、流体インプリント材料から凝固材料に変形する。このことは、凝固インプリント層134に、図4により明瞭に示す、モールド26の表面50の形に合致する形を有する面を与える。結果として、記録されたパターン134は、凹部52と突起54を有して形成される。記録されたパターン134の凝固後、モールド26と記録されたパターン134が離れるように距離「d」を増加させる。通常、この工程は、基板32の異なる領域(図示せず)をパターン化するために、数回繰り返され、ステップ・アンド・リピート工程と呼ばれる。典型的なステップ・アンド・リピート工程は、本発明の譲受人に譲渡された、公告された米国特許第6900881号明細書(発明の名称「STEP AND REPEAT IMPRINT LITHOGRAPHY」)に開示されている。
このパターン化工程の利点は、多方面にわたる。例えば、突起54と凹部52の間の厚さの差は、記録されたパターン134に対応するパターンの基板32への形成を容易にする。具体的には、それぞれ突起54と、凹部52のt1とt2の間の厚さ差は、暴露される凹部52と重ね合わされる基板32の領域に必要な時間と比較して、突起54と重ね合わされる基板32の領域を暴露する前に必要な、より多くのエッチング時間を招く。従って、所与のエッチング工程に関して、エッチングは、突起54と重ね合わされる領域よりも、凹部52と重ね合わされる基板32の領域において早く開始する。このことは、記録されたパターン134に対応する基板内でパターンの形成を容易にする。インプリント材料と、エッチング化学作用を適切に選択することによって、基板32に最終的に転写されるパターンの異なるフィーチャ間の関係寸法は、所望のように制御できる。そのために、記録されたパターン134のエッチング特性が、所与のエッチング化学作用に関して実質的に均一であることが望まれる。
結果として、インプリント材料の特性は、用いられる独特なパターン化工程に照らして、基板32を効率的にパターン化するために重要である。上述のように、インプリント材料は、複数の離散し、かつ離間した小滴38として基板32上に堆積する。小滴38の組み合わせた容積は、記録されたパターン134が形成されるべき表面36の面積にわたって、インプリント材料が、適切に分布するようになっている。この方法で、小滴38内のインプリント材料の全容積は、一旦、所望の距離「d」に達すると、重ね合わされるモールド26と基板32の部分の間に設けられたギャップにインプリント材料によって占められる総容積が小滴38内のインプリント材料の総容積と実質的に等しいように、得られるべき距離「d」を決める。堆積工程を容易にするために、すべての厚さt1が実質的に均一であり、かつすべての残留厚さt2が実質的に均一であるように、インプリント材料が、表面36にわたって小滴38内でインプリント材料の急速、かつ均等な広がりを提供することが望まれる。
図6を参照すると、本発明によって認識される課題は、連続した層300の蒸発特性を変えることを含む。層300は、平坦化モールド(図示せず)、すなわち平滑表面によって非パターン化されたモールドが、小滴38を塗布するために用いられたことを除いて、以上に論じた方法で形成された。小滴38の塗布後、インプリント材料は、波長約365nm、流束77mW/cm2を有する化学線に約700ms暴露して、それを凝固させる。層300の凝固後、その面積にわたる種々の厚みが観察された。具体的には、領域302、304は、層300の残りの領域より薄いことが発見された。見られるように、領域304は、領域302と比較して実質的に均一の面積を有する。領域302は、領域304に近接した第1の厚みs1を有し、それは次第に大きくなり、s2として示す層300の外縁に近接する頂点に達する。領域302、304は、小滴38内でインプリント材料が広がるにつれて発生する、一連の中間パターンの間、酸素の存在に起因する部分重合から生じると考えられる。示すように、材料周囲境界202が、インプリント材料が広がるにつれて中間パターン200内で発生する。材料周囲境界202は、インプリント材料の隣接する容積がつながるまで存続する。見られるように、インプリント材料は、境界204に近接して配置されるパターン200の領域と比較して、パターン200の中央領域において早くつながる。重合減少は、蒸発を引き起こし、かつ重合を阻害すると考えられる、酸素のような周囲の成分への暴露時間の長さに直接関連があると考えられる。このことは、領域302の種々の厚さの原理を提供する。層300を形成するために使用されるインプリント材料のための先行技術の組成物は、以下の通りである:
先行技術の組成物
イソボルニルアクリレート
n−ヘキシルアクリレート
エチレングリコールジアクリレート
2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン
12
(R12は、界面活性剤である)。本発明の目的を達成するために、界面活性剤は、任意の分子と定義され、その一方の尾部は、疎水性である。界面活性剤は、フッ素含有であっても良く、例えばフッ素鎖を含むか、又は界面活性剤分子構造にいかなるフッ素も含まなくても良い。界面活性剤R12において、R1=F(CF2CF2yであり、yは1から7(7を含む)の範囲にあり、かつR2=CH2CH2O(CH2CH2O)xHであり、xは0から15(15を含む)の範囲にある。代表的な界面活性剤は、DUPONT(商標)からの商品名ZONYL(登録商標)FS0−100で入手できる。重合反応中に、先行技術の組成物が、材料−ガス境界に近接する過酸化物基を形成すると考えられた。このことは、インプリント材料の重合を妨げないにせよ、その速度を落とす。その結果、所与の重合工程に関して、フィルム300は、その容積にわたって種々の凝固程度を与えられる。
本発明は、硬化工程を阻害するであろう周囲中で分子を消費する捕捉材料を、インプリント材料を形成する組成物中に含むことによってこれらの欠点を克服する。具体的には、開始剤を有する添加剤を含むことによって、材料−ガス境界での重合の阻害が、最小限に抑えられることが発見された。そのために、次の組成物を提供するために、アミン含有添加剤が、先行技術の組成物に含まれる:
組成物1
イソボルニルアクリレート
n−ヘキシルアクリレート
エチレングリコールジアクリレート
2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン
N−メチルジエタノールアミン
12
アクリル酸成分イソボルニルアクリレート(IBOA)は、次の構造:
Figure 0004942657
を有し、かつ約55重量%の組成物1を含むが、20%から80%(80%を含む)の範囲で存在しても良い。その結果、凝固インプリント層134の機械的性質は、IBOAに主に起因する。成分n−ヘキシルアクリレート(nHA)は、次の構造:
Figure 0004942657
を有し、かつ約27重量%の組成物1を含むが、0%から50%(50%を含む)の範囲で存在しても良い。同様に、凝固インプリント層134に可撓性を提供して、nHAは、液相での組成物1が、2〜9センチポアズ(9センチポアズを含む)の範囲内で粘性を有するように、先行技術の組成物の粘性を減少させるために用いられる。架橋成分、エチレングリコールジアクリレートは、次の構造:
Figure 0004942657
を有し、かつ約15重量%の組成物1を含むが、10%から50%(50%を含む)の範囲で存在しても良い。EGDAは、弾性率及び剛性強化にも関与し、並びに組成物1の重合中に、nHA及びIBOAの架橋を容易にする。開始剤成分、2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オンは、商品名DAROCUR 1173でTarrytown、New YorkのCiba Specialty Chemicalsから入手でき、次の構造:
Figure 0004942657
を有し、かつ約3重量%の組成物1を含み、かつ1%から5%(5%を含む)の範囲で存在しても良い。開始剤は、中圧水銀ランプによって発生する紫外線の広帯域に応答する。このように、開始剤は、組成物1の成分の架橋及び重合を容易にする。界面活性剤成分R12は、先行技術の組成物に対して上記の通りであり、かつ次の一般構造:
Figure 0004942657
を有する。
界面活性剤成分は、液相である時、組成物1の適切な湿潤性、並びに固相において所望の剥離性を提供する。アミン成分、N−メチルジエタノールアミンは、次の構造:
Figure 0004942657
を有し、かつ約0.5重量%から4重量%(4重量%を含む)の組成物1を含む。アミン成分は、組成物1への周囲の有害作用を妨げないにせよ、減少させる。具体的には、次の反応が、重合中に発生する:
Figure 0004942657
(式中、開始剤は、2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オンであり、hvは、開始剤に当てる紫外線によって発生する光学エネルギーであり、かつR・は、放射線に反応して開始剤によって発生する遊離基の一次集団である)。遊離基の遊離一次集団は、次にIBOA及びアクリル酸nHA、Mと次のように相互作用する:
Figure 0004942657
式中、RM・は、P・とも表示されるラジカル連鎖であり、かつ次のようにポリマー鎖で終わる:
P・ + P・ → ポリマー (3)
上記反応1−3に加えて、追加反応は、周囲が存在する境界202に近接して発生する。典型的な反応は、ラジカル開始剤R・及び酸素O2の間で次の通りに発生する:
R・ + O2 → RO2・ (4)
(式中、RO2・は、基、すなわち過酸化物基の二次集団である)。過酸化物基は、式(2)の反応を容易にするためにその量を減少させる一次集団R・の基を効果的に消費するという点で望ましくなく、かつ過酸化物基自体は、低い重合開始確率を有する。このことは、式(4)によって定義されるような重合を阻害する。しかしながら組成物1のアミン基、DHは、基D・の三次集団や、ある種の残留分子RO2Hを生成するために、基RO2・の二次集団と次のように反応する:
RO2・ + DH → RO2H + D・ (5)
その上、アミン基は、その更なる重合を容易にするために、アクリル酸塩Mと次のように反応する:
D・ + M → DM・ (6)
同様に、アミン基は、過酸化物基のRO2・タイプの形成を減少させるために、周囲に存在する酸素と次のように反応する:
D・ + O2 → DO2・ (7)
基DO2・は望ましくないが、凝固インプリント層内で酸素の存在を99%だけ減少させながら、更なる重合への追加の基D・を作るそれが、組成物1内に存在する他のアミン基と次のように相互作用する:
DO2・ + DH → DO2H + D・ (8)
アミン基が置換によって、又は三次アミン成分と共に、又はその代わりに含まれるアミン含有開始剤を2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン開始剤と併せて使用することによって組成物1に含まれるてもよいことが、理解されるべきである。アミン基が、開始剤の代わりに用いられるならば、アミン基が、紫外線暴露で基を発生させることにおいて光活性であることが望ましい。そのために、他の組成物は、次のものを含むことができる:
組成物2
イソボルニルアクリレート
n−ヘキシルアクリレート
エチレングリコールジアクリレート
2−メチル−1[4−(メチルチオ)フェニル]−2−モルホリノプロパン−1−オン
12
(式中、2−メチル−1[4−(メチルチオ)フェニル]−2−モルホリノプロパン−1−オンは、商品名IRGACURE(登録商標)907でTarrytown、New YorkのCiba Specialty Chemicals Corporationから入手できる);及び
組成物3
イソボルニルアクリレート
n−ヘキシルアクリレート
エチレングリコールジアクリレート
2−ベンジル−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノン
12
(式中、2−ベンジル−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノンは、商品名IRGACURE(登録商標)369でTarrytown、New YorkのCiba Specialty Chemicals Corporationから入手できる);及び
組成物4
イソボルニルアクリレート
n−ヘキシルアクリレート
エチレングリコールジアクリレート
2−(4−メチル−ベンジル)−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノン
12
(式中、2−(4−メチル−ベンジル)−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノンは、商品名IRGACURE(登録商標)379でTarrytown、New YorkのCiba Specialty Chemicals Corporationから入手できる)。
図2と7を参照すると、インプリント層34を上に形成する、平坦でないにせよ平滑な表面を基板32に与えることが望ましい。そのために、基板32は、下塗り層96を含む。基板32の表面36が、インプリント層34内に形成されるフィーチャ寸法と比較して粗く見える時、下塗り層96が有益であると証明された。下塗り層96は、同様に、とりわけ標準界面にインプリント層34を与える働きをしても良く、それにより、基板32が形成されるインプリント材料に各工程をカスタマイズする必要を減少させる。その上、下塗り層96は、インプリント層34と同じ又は異なるエッチング特性を有する有機インプリント材料から形成できる。結果として、下塗り層96は、インプリント層34に対して優れた接着を示し、連続し、円滑な、かつ比較的欠陥のない表面を持つような方法で製造される。下塗り層96を形成するために使用する典型的な材料は、商品名DUV30J−6でRolla MissouriのBrewer Science,Inc.から入手可能である。下塗り層96は、通常、ある厚さを備えて所望の表面プロフィールを提供することを容易にし、かつ基板32表面上で、位置合わせマークのようなパターンを検出するために用いられる光学感知装置に不透明ではない。
図7、8を参照すると、インプリント層34が予めパターン化された基板32の表面136上に存在する時に、下塗り層196を堆積させることが有益であることが発見された。そのために、下塗り層96のように、下塗り層196は、小滴分配技術、スピンオン技術等を含む、任意の公知の堆積方法を用いて堆積できる。更に、下塗り層96と196のいずれかの表面の平滑度を向上させるために、それを平坦でないにせよ実質的に平滑な接触表面を有する、平坦化モールド80と接触させることが望ましい。
凝固下塗り層96、196が、平坦化モールド80に接着する確率を低くするために、それを低い表面エネルギーコーティング98で処理する。低い表面エネルギーコーティング98は、任意の公知の方法を使用して、適用できる。例えば、処理技術には、化学蒸着法、物理蒸着、原子層蒸着又は他の種々の技術、ろう付け等が含まれる。同じように、低い表面エネルギーコーティング(図示せず)は、図2に示す、モールド26に適用できる。
前述の界面活性剤と、低い表面エネルギーコーティングに加えて、フッ素化添加剤を、インプリント材料の剥離性を改良するために用いることができる。フッ素化添加剤は、界面活性剤のように、インプリント材料の表面エネルギーよりも低い、それに関連した表面エネルギーを有する。前述のフッ素化添加剤を用いる典型的な方法が、Benderらによって、MULTIPLE IMPRINTING IN UV−BASED NANOIMPRINT LITHOGRAPHY:RELATED MATERIAL ISSUES、Microelectronic Engineering、pp.61〜62(2002)で論じられている。添加剤の低い表面エネルギーは、架橋され、かつ重合されたインプリント材料モールド26及び80の接着性を減少させるために、所望の剥離性を提供する。
上記本発明の実施形態は、典型的なものである。本発明の範囲内に留まりながら、多数の変更及び修正を、上記に説明した開示に行うことができる。例えば、前述の各組成物の成分比は、変えることができる。従って、本発明の範囲は、上記記述によって限定されるべきでなく、その代わりに添付の特許請求の範囲を、その同等物の全範囲と共に参照して判定されるべきである。
本発明に従ったリソグラフィックシステムの斜視図である。 本発明の一実施形態に従ったパターン化インプリント層を作るために用いられる、図1に示したリソグラフィックシステムの簡易立面図である。 上に配置された重合可能な流体の小滴のパターンを用いてパターニングが起こる、図2に示した基板の領域のトップダウン図である。 本発明に従ったパターン化後に、図1に示したパターン化インプリント層から離間したインプリント装置の簡易立面図である。 塗布中に図4に示した重合可能な流体の小滴によって形成される中間パターンを示す、図4に示した基板の領域のトップダウン図である。 紫外線を受けた後に、重合可能な材料から形成された層のトップダウン図である。 本発明に従って用いても良い、下塗り層を示す断面図である。 平坦化モールドに適用された剥離層を示す断面図である。

Claims (9)

  1. インプリントリソグラフィ方法であって、
    酸素含有大気中の基板(32)上に、重合可能な液体組成物の複数の離間した離散小滴(38)を配置するステップであって、前記小滴(38)は、前記大気との界面となる境界を有し、結果として、モールド(26)に接する基板(32)の表面(36)の一部分にインプリント層(34)を形成するステップと、
    基板(32)とモールド(26)の間の距離dを、インプリント層(34)がモールド(26)に機械的に接触できるように減少させるステップと、
    所望の距離に達した後、前記組成物を重合し、かつ架橋する化学線を放射線源(22)により生成し、それにより、記録されたパターン(134)を凝固させるステップであって、凝固されたインプリント層には、モールド(26)の表面(50)の形に合致する形を有する面が与えられるステップと、
    記録されたパターン(134)の凝固後、モールド(26)と記録されたパターン(134)が離れるように距離dを増加させるステップと
    を含み、
    前記重合可能な液体組成物は、酸素を消費し、かつ前記境界に近接する酸素による前記組成物の重合阻害を最小限に抑える追加の遊離基を発生させる酸素捕捉剤を含む
    ことを特徴とする方法。
  2. 前記酸素捕捉剤は、重合を開始または継続するため、過酸化物基との反応または紫外線暴露でアルファアミノアルキル基を生成するアミン基を含むことを特徴とする請求項1に記載の方法。
  3. 前記酸素捕捉剤は、2−メチル−1[4−(メチルチオ)フェニル]−2−モルホリノプロパン−1−オン、N−メチルジエタノールアミン、及び2−ベンジル−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノン、及び2−(4−メチル−ベンジル)−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノンからなるセットから選択されることを特徴とする請求項2に記載の方法。
  4. 前記重合可能な液体組成物は、さらに界面活性剤を含むことを特徴とする請求項3に記載の方法。
  5. 前記重合可能な液体組成物は、イソボルニルアクリレート、n−ヘキシルアクリレート、エチレングリコールジアクリレート、2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン、界面活性剤、アミン成分であるN−メチルジエタノールアミンを含むことを特徴とする請求項3に記載の方法。
  6. 前記重合可能な液体組成物は、イソボルニルアクリレート、n−ヘキシルアクリレート、エチレングリコールジアクリレート、2−メチル−1[4−(メチルチオ)フェニル]−2−モルホリノプロパン−1−オン、界面活性剤を含むことを特徴とする請求項3に記載の方法。
  7. 前記重合可能な液体組成物は、イソボルニルアクリレート、n−ヘキシルアクリレート、エチレングリコールジアクリレート、2−ベンジル−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノン、界面活性剤を含むことを特徴とする請求項3に記載の方法。
  8. 前記重合可能な液体組成物は、イソボルニルアクリレート、n−ヘキシルアクリレート、エチレングリコールジアクリレート、2−(4−メチル−ベンジル)−2−ジメチルアミノ−1−(4−モルホリノフェニル)−1−ブタノン、界面活性剤を含むことを特徴とする請求項3に記載の方法。
  9. 前記重合可能な液体組成物は、20乃至80重量%のイソボルニルアクリレート、0乃至50重量%のn−ヘキシルアクリレート、10乃至50重量%のエチレングリコールジアクリレート、1乃至5重量%の2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン、0.5乃至4重量%のアミン成分であるN−メチルジエタノールアミンを含むことを特徴とする請求項5に記載の方法。
JP2007532433A 2004-09-23 2005-09-13 液体凝固の酸素阻害を減衰させる重合技術及びそのための組成物 Active JP4942657B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/948,511 US20060062922A1 (en) 2004-09-23 2004-09-23 Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US10/948,511 2004-09-23
PCT/US2005/032804 WO2006036562A2 (en) 2004-09-23 2005-09-13 Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor

Publications (2)

Publication Number Publication Date
JP2008513577A JP2008513577A (ja) 2008-05-01
JP4942657B2 true JP4942657B2 (ja) 2012-05-30

Family

ID=36074353

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007532433A Active JP4942657B2 (ja) 2004-09-23 2005-09-13 液体凝固の酸素阻害を減衰させる重合技術及びそのための組成物

Country Status (9)

Country Link
US (3) US20060062922A1 (ja)
EP (2) EP2272594A1 (ja)
JP (1) JP4942657B2 (ja)
KR (1) KR101219354B1 (ja)
CN (1) CN101022894A (ja)
AT (1) ATE486666T1 (ja)
DE (1) DE602005024589D1 (ja)
TW (1) TWI319349B (ja)
WO (1) WO2006036562A2 (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
TW200842934A (en) * 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
NL2003875A (en) * 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
CN102438841A (zh) 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
JP5397054B2 (ja) * 2009-07-08 2014-01-22 大日本印刷株式会社 ナノインプリント方法およびナノインプリント装置
WO2011066450A2 (en) * 2009-11-24 2011-06-03 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithography
JP5002695B2 (ja) 2010-09-24 2012-08-15 株式会社東芝 微細加工方法、微細加工装置、および微細加工プログラム
JP6012344B2 (ja) * 2011-10-24 2016-10-25 キヤノン株式会社 膜の形成方法
FR2998793B1 (fr) 2012-11-30 2014-11-28 Oreal Composition cosmetique sous forme d'emulsion huile-dans-eau
JP5644906B2 (ja) * 2013-07-18 2014-12-24 大日本印刷株式会社 ナノインプリント方法
US9550845B2 (en) 2014-04-08 2017-01-24 The Board Of Trustees Of The University Of Illinois Multiple stage curable polymer with controlled transitions
WO2016048053A1 (ko) * 2014-09-26 2016-03-31 한국기계연구원 복수의 나노갭이 형성된 기판 및 이의 제조방법
JP6363473B2 (ja) * 2014-11-17 2018-07-25 株式会社トクヤマ インプリント用光硬化性組成物、及び該組成物を用いたレジスト積層体の製造方法
US10120276B2 (en) * 2015-03-31 2018-11-06 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
JP7397721B2 (ja) 2020-03-06 2023-12-13 キヤノン株式会社 決定方法、インプリント方法、インプリント装置、物品の製造方法及びプログラム
WO2022107888A1 (ja) * 2020-11-19 2022-05-27 ダイキン工業株式会社 フルオロポリマーおよびその製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0538797A (ja) * 1991-07-15 1993-02-19 Sekisui Chem Co Ltd 被覆物の製造方法及び被覆用シート
JPH07238106A (ja) * 1994-03-02 1995-09-12 Japan Synthetic Rubber Co Ltd 立体造形用光硬化性組成物及び光硬化促進方法
JP2000035504A (ja) * 1998-05-11 2000-02-02 Seiko Epson Corp マイクロレンズアレイ基板及びその製造方法並びに表示装置
JP2001348412A (ja) * 2000-04-03 2001-12-18 Jsr Corp 液状硬化性樹脂組成物および二層フィルム
JP2003161802A (ja) * 2001-09-14 2003-06-06 Dainippon Printing Co Ltd 光硬化性樹脂組成物、シート、転写箔、微細凹凸パターン形成方法、及び光学用物品
JP2006114882A (ja) * 2004-09-16 2006-04-27 Asahi Glass Co Ltd パターンの形成方法およびパターンを有する物品
JP2006528088A (ja) * 2003-06-17 2006-12-14 モレキュラー・インプリンツ・インコーポレーテッド 成形される領域と成形型のパターンとの間の接着を低減させる方法

Family Cites Families (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1720535A1 (de) 1967-02-23 1971-07-08 Dow Chemical Co Polymerisation von Acryl-Monomeren
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3844916A (en) * 1972-09-18 1974-10-29 Desoto Inc Radiation curable non-gelled michael addition reaction products
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
US4118235A (en) * 1975-09-18 1978-10-03 Daikin Kogyo Co., Ltd. Mold release agent
US4058656A (en) * 1976-04-05 1977-11-15 Ici United States Inc. Free radical polymerization process employing substituted amino acetic acid derivatives
JPS573875A (en) * 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
DE3345103A1 (de) * 1983-12-13 1985-06-13 Bayer Ag, 5090 Leverkusen Neue amine, verfahren zu deren herstellung sowie deren verwendung in radikalisch polymerisierbaren massen
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
DE3583707D1 (de) * 1984-06-26 1991-09-12 Asahi Glass Co Ltd Durchsichtiger schwer schmutzender gegenstand mit niedriger reflexion.
JPS61116358A (ja) * 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4707432A (en) * 1985-09-23 1987-11-17 Ciba-Geigy Corporation Ferrocenium/alpha-cleavage photoinitiator systems for free radical polymerizable compositions
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US5180757A (en) * 1987-12-16 1993-01-19 Michael Lucey Photopolymerizable compositions used in electronics
JPH01163027A (ja) * 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd 光学素子の成形方法およびその装置
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5439766A (en) * 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5028511A (en) 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) * 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5170182A (en) 1990-08-23 1992-12-08 Management Graphics, Inc. Apparatus and method for registering an image on a recording medium
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5170192A (en) 1990-11-29 1992-12-08 Pilkington Visioncare, Inc. Oxygen permeable bifocal contact lenses and their manufacture
EP0711802B1 (en) * 1990-12-28 1999-10-06 Dow Corning Corporation Method of indicating a cure point for ultraviolet radiation curing compositions by color change
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
EP0759413B1 (en) * 1991-05-17 1999-09-01 Asahi Glass Company Ltd. Surface-treated substrate
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
JP3123195B2 (ja) * 1992-04-15 2001-01-09 ミノルタ株式会社 インクジェット用記録液
FR2693727B1 (fr) 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
DE69412168T2 (de) * 1993-05-14 1998-12-10 Asahi Glass Co Ltd Oberflächenbehandeltes Substrat und Verfahren zu seiner Herstellung
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5462700A (en) 1993-11-08 1995-10-31 Alliedsignal Inc. Process for making an array of tapered photopolymerized waveguides
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5523878A (en) * 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5459198A (en) 1994-07-29 1995-10-17 E. I. Du Pont De Nemours And Company Fluoroinfused composites, articles of manufacture formed therefrom, and processes for the preparation thereof
JP3278306B2 (ja) 1994-10-31 2002-04-30 富士写真フイルム株式会社 ポジ型フォトレジスト組成物
US5868966A (en) * 1995-03-30 1999-02-09 Drexel University Electroactive inorganic organic hybrid materials
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US6518168B1 (en) * 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
JP2000508084A (ja) * 1996-03-28 2000-06-27 ミネソタ マイニング アンド マニュファクチャリング カンパニー 有機光受容体のためのペルフルオロエーテル剥離塗料
JP3715021B2 (ja) * 1996-04-09 2005-11-09 Jsr株式会社 液状硬化性樹脂組成物
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5792821A (en) * 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6335149B1 (en) * 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6093455A (en) * 1997-05-23 2000-07-25 Deco Patents, Inc. Method and compositions for decorating glass
US6132632A (en) 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6114404A (en) 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
KR20010013818A (ko) 1998-04-15 2001-02-26 게스레이 마크 포토레지스트 현상액 및 현상 방법
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) * 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
CA2280378C (en) * 1999-04-29 2009-05-26 S&C Electric Company Arrangements to detect and respond to disturbances in electrical power systems
EP1194273B1 (en) 1999-06-11 2003-02-19 Bausch & Lomb Incorporated Lens molds with protective coatings for production of contact lenses and intraocular lenses
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
AU7361200A (en) 1999-09-10 2001-04-10 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6306557B1 (en) * 2000-04-20 2001-10-23 Industrial Technology Research Foundation Process for preparing water dispersible negative-type photosensitive compositions
EP1150165A1 (en) 2000-04-25 2001-10-31 JSR Corporation Radiation sensitive resin composition for forming barrier ribs for an el display element, barrier ribs and el display element
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
JP4511786B2 (ja) 2000-07-16 2010-07-28 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 基板とこの基板から離れたテンプレートを整列させる方法
EP1303793B1 (en) 2000-07-17 2015-01-28 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
JP2004523906A (ja) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
GB0101070D0 (en) * 2001-01-16 2001-02-28 Reddiplex Group Plc Display stands
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
WO2003064495A2 (en) 2001-11-07 2003-08-07 Dow Global Technologies Inc. Planarized microelectronic substrates
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
WO2003071357A1 (fr) * 2002-02-19 2003-08-28 Nissan Chemical Industries, Ltd. Composition de formation d'un revetement antireflet
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
AU2003256654A1 (en) 2002-07-23 2004-02-09 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
KR20050026088A (ko) 2002-08-01 2005-03-14 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피용 산란측정 정렬
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
CN1519260A (zh) 2003-01-20 2004-08-11 上海华谊(集团)公司 氨基硫代羰基硫化物作链转移剂的可控自由基聚合方法
TWI230832B (en) * 2003-01-24 2005-04-11 Sipix Imaging Inc Novel adhesive and sealing layers for electrophoretic displays
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
JP4651390B2 (ja) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20060108710A1 (en) 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
KR101193918B1 (ko) 2004-06-03 2012-10-29 몰레큘러 임프린츠 인코퍼레이티드 나노-스케일 제조공정을 위한 유체 배분방법과 필요에 따른액적 배분방법
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
WO2006060758A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060177532A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US20070228608A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US7316554B2 (en) 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
EP2001602B1 (en) 2006-04-03 2011-06-22 Molecular Imprints, Inc. Lithography imprinting system
JP5306989B2 (ja) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
TW200842934A (en) 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0538797A (ja) * 1991-07-15 1993-02-19 Sekisui Chem Co Ltd 被覆物の製造方法及び被覆用シート
JPH07238106A (ja) * 1994-03-02 1995-09-12 Japan Synthetic Rubber Co Ltd 立体造形用光硬化性組成物及び光硬化促進方法
JP2000035504A (ja) * 1998-05-11 2000-02-02 Seiko Epson Corp マイクロレンズアレイ基板及びその製造方法並びに表示装置
JP2001348412A (ja) * 2000-04-03 2001-12-18 Jsr Corp 液状硬化性樹脂組成物および二層フィルム
JP2003161802A (ja) * 2001-09-14 2003-06-06 Dainippon Printing Co Ltd 光硬化性樹脂組成物、シート、転写箔、微細凹凸パターン形成方法、及び光学用物品
JP2006528088A (ja) * 2003-06-17 2006-12-14 モレキュラー・インプリンツ・インコーポレーテッド 成形される領域と成形型のパターンとの間の接着を低減させる方法
JP2006114882A (ja) * 2004-09-16 2006-04-27 Asahi Glass Co Ltd パターンの形成方法およびパターンを有する物品

Also Published As

Publication number Publication date
EP1796851A4 (en) 2009-05-06
ATE486666T1 (de) 2010-11-15
KR101219354B1 (ko) 2013-01-18
EP2272594A1 (en) 2011-01-12
JP2008513577A (ja) 2008-05-01
US20080085465A1 (en) 2008-04-10
WO2006036562A3 (en) 2007-03-29
US20070141271A1 (en) 2007-06-21
US20060062922A1 (en) 2006-03-23
US7845931B2 (en) 2010-12-07
TW200613898A (en) 2006-05-01
WO2006036562A2 (en) 2006-04-06
KR20070085233A (ko) 2007-08-27
US7981481B2 (en) 2011-07-19
EP1796851A2 (en) 2007-06-20
DE602005024589D1 (de) 2010-12-16
CN101022894A (zh) 2007-08-22
EP1796851B1 (en) 2010-11-03
TWI319349B (en) 2010-01-11

Similar Documents

Publication Publication Date Title
JP4942657B2 (ja) 液体凝固の酸素阻害を減衰させる重合技術及びそのための組成物
JP4625042B2 (ja) インプリントリソグラフィ
US7179079B2 (en) Conforming template for patterning liquids disposed on substrates
US7365103B2 (en) Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US6719915B2 (en) Step and flash imprint lithography
EP2261280B1 (en) Process for imprint lithography
JP4514754B2 (ja) 毛管作用によるインプリント技術
US8021594B2 (en) Preserving filled features when vacuum wiping
US7157036B2 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
US20030235787A1 (en) Low viscosity high resolution patterning material
US20040112862A1 (en) Planarization composition and method of patterning a substrate using the same
US20050160934A1 (en) Materials and methods for imprint lithography
KR20110090897A (ko) 임프린트용 조성물, 패턴 및 패터닝 방법
EP2038705A2 (en) Printing form precursor and process for preparing a stamp from the precursor
del Campo et al. Generating micro-and nanopatterns on polymeric materials
JP5020079B2 (ja) 均一なエッチング特性を有する層を提供する方法及び組成物
US20050082253A1 (en) Applying imprinting material to substrates employing electromagnetic fields
Johnson Step and flash imprint lithography: materials and process development
KR20090001075A (ko) 임프린트용 스탬프 및 이를 이용한 반도체 소자의 패턴형성 방법
Stacey et al. Compositions for dark-field polymerization and method of using the same for imprint lithography processes
Zelsmann et al. Materials and processes in UV-assisted nanoimprint lithography
Cui et al. Nanofabrication by Replication
Watts et al. Method to reduce adhesion between a conformable region and a pattern of a mold
Schumaker et al. Applying imprinting material to substrates employing electromagnetic fields

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080912

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110719

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111019

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111026

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120221

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120228

R150 Certificate of patent or registration of utility model

Ref document number: 4942657

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150309

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250