JP2022519613A - プログラマブルロジックデバイスおよび異種メモリを有するユニファイド半導体デバイス、および、それを形成するための方法 - Google Patents

プログラマブルロジックデバイスおよび異種メモリを有するユニファイド半導体デバイス、および、それを形成するための方法 Download PDF

Info

Publication number
JP2022519613A
JP2022519613A JP2021545441A JP2021545441A JP2022519613A JP 2022519613 A JP2022519613 A JP 2022519613A JP 2021545441 A JP2021545441 A JP 2021545441A JP 2021545441 A JP2021545441 A JP 2021545441A JP 2022519613 A JP2022519613 A JP 2022519613A
Authority
JP
Japan
Prior art keywords
bonding
semiconductor
layer
array
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021545441A
Other languages
English (en)
Inventor
ジュン・リュウ
ウェイフア・チェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/CN2019/082607 external-priority patent/WO2020210928A1/en
Priority claimed from PCT/CN2019/085237 external-priority patent/WO2020220280A1/en
Priority claimed from PCT/CN2019/097442 external-priority patent/WO2020220484A1/en
Priority claimed from PCT/CN2019/105292 external-priority patent/WO2020211272A1/en
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Priority claimed from PCT/CN2019/113238 external-priority patent/WO2020211322A1/en
Publication of JP2022519613A publication Critical patent/JP2022519613A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0651Function
    • H01L2224/06515Bonding areas having different functions
    • H01L2224/06517Bonding areas having different functions including bonding areas providing primarily mechanical bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08148Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area protruding from the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/45124Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45139Silver (Ag) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/4847Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a wedge bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06506Wire or wire-like electrical connections between devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00012Relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Memory System (AREA)

Abstract

半導体デバイスおよびその製作方法の実施形態が開示されている。例では、半導体デバイスは、NANDメモリセルと、第1のボンディング接触部を含む第1のボンディング層とを含む。また、半導体デバイスは、第2の半導体構造体を含み、第2の半導体構造体は、DRAMセルと、第2のボンディング接触部を含む第2のボンディング層とを含む。また、半導体デバイスは、第3の半導体構造体を含み、第3の半導体構造体は、プログラマブルロジックデバイスと、第3のボンディング接触部を含む第3のボンディング層とを含む。半導体デバイスは、第1のボンディング層と第3のボンディング層との間の第1のボンディングインターフェースと、第2のボンディング層と第3のボンディング層との間の第2のボンディングインターフェースとをさらに含む。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触している。第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。第1および第2のボンディングインターフェースは、同じ平面にある。

Description

関連出願の相互参照
本出願は、2019年9月11日に出願された「UNIFIED SEMICONDUCTOR DEVICES HAVING PROCESSOR AND HETEROGENEOUS MEMORIES AND METHODS FOR FORMING THE SAME」という標題の国際出願第PCT/CN2019/105292号、2019年4月15日に出願された「INTEGRATION OF THREE-DIMENSIONAL NAND MEMORY DEVICES WITH MULTIPLE FUNCTIONAL CHIPS」という標題の国際出願第PCT/CN2019/082607号、2019年7月24日に出願された「BONDED UNIFIED SEMICONDUCTOR CHIPS AND FABRICATION AND OPERATION METHODS THEREOF」という標題の国際出願第PCT/CN2019/097442号、および、2019年4月30日に出願された「THREE-DIMENSIONAL MEMORY DEVICE WITH EMBEDDED DYNAMIC RANDOM-ACCESS MEMORY」という標題の国際出願第PCT/CN2019/085237号の優先権の利益を主張し、それらの文献のすべては、その全体が参照により本明細書に組み込まれている。
本開示の実施形態は、半導体デバイスおよびその製作方法に関する。
フィールドプログラマブルゲートアレイ(FPGA)は、プログラマブルロジックブロックのアレイを含有する再プログラム可能な集積回路である。FPGAチップの採用は、その柔軟性、ハードウェアタイミングの速度(hardware-timed speed)、および信頼性、ならびに並列処理によって促進されている。FPGAは、スマートエネルギーグリッドから、航空機ナビゲーション、自動車ドライバー支援、医療用超音波、およびデータセンター検索エンジンまで多岐にわたる、多くのタイプの電子機器の設計者に利益を提供する。今日では、FPGAは、同様に、人工知能(AI)に使用されるディープニューラルネットワーク(DNN)など(たとえば、機械学習のために大量のデータを分析する際など)、別の分野でも注目を集めている。
半導体デバイスおよびその製作方法の実施形態が、本明細書で開示されている。
1つの例において、半導体デバイスは、NANDメモリセルのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む。また、半導体デバイスは、第2の半導体構造体を含み、第2の半導体構造体は、ダイナミックランダムアクセスメモリ(DRAM)セルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む。また、半導体デバイスは、第3の半導体構造体を含み、第3の半導体構造体は、プログラマブルロジックデバイスと、複数の第3のボンディング接触部を含む第3のボンディング層とを含む。半導体デバイスは、第1のボンディング層と第3のボンディング層との間の第1のボンディングインターフェースと、第2のボンディング層と第3のボンディング層との間の第2のボンディングインターフェースとをさらに含む。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触している。第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。第1のボンディングインターフェースおよび第2のボンディングインターフェースは、同じ平面にある。
別の例において、半導体デバイスを形成するための方法が開示されている。複数の第1の半導体構造体が、第1のウエハの上に形成される。第1の半導体構造体のうちの少なくとも1つは、NANDメモリセルのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む。第1のウエハは、複数の第1のダイへとダイシングされ、第1のダイのうちの少なくとも1つが、第1の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第2の半導体構造体が、第2のウエハの上に形成される。第2の半導体構造体のうちの少なくとも1つは、DRAMセルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む。第2のウエハは、複数の第2のダイへとダイシングされ、第2のダイのうちの少なくとも1つが、第2の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第3の半導体構造体が、第3のウエハの上に形成される。第3の半導体構造体のうちの少なくとも1つは、プログラマブルロジックデバイス、および、複数の第3のボンディング接触部を含む第3のボンディング層を含む。第3のウエハは、複数の第3のダイへとダイシングされ、第3のダイのうちの少なくとも1つが、第3の半導体構造体のうちの少なくとも1つを含むようになっている。第3の半導体構造体が、第1の半導体構造体および第2の半導体構造体のそれぞれに結合されるように、第3のダイならびに第1のダイおよび第2のダイのそれぞれが、向かい合った様式で結合される。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触している。第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。
さらに別の例において、半導体デバイスを形成するための方法が開示されている。複数の第1の半導体構造体が、第1のウエハの上に形成される。第1の半導体構造体のうちの少なくとも1つは、NANDメモリセルのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む。第1のウエハは、複数の第1のダイへとダイシングされ、第1のダイのうちの少なくとも1つが、第1の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第2の半導体構造体が、第2のウエハの上に形成される。第2の半導体構造体のうちの少なくとも1つは、DRAMセルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む。第2のウエハは、複数の第2のダイへとダイシングされ、第2のダイのうちの少なくとも1つが、第2の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第3の半導体構造体が、第3のウエハの上に形成される。第3の半導体構造体のうちの少なくとも1つは、プログラマブルロジックデバイス、および、複数の第3のボンディング接触部を含む第3のボンディング層を含む。少なくとも1つの第3の半導体構造体が、第1の半導体構造体および第2の半導体構造体のそれぞれに結合されるように、結合された構造体を形成するために第3のウエハならびに少なくとも1つの第1のダイおよび少なくとも1つの第2のダイのそれぞれが、向かい合った様式で結合される。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触している。第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。結合された構造体は、複数のダイへとダイシングされる。ダイのうちの少なくとも1つは、結合された第1の、第2の、および第3の半導体構造体を含む。
さらなる別の例において、半導体デバイスは、プログラマブルロジックブロックのアレイを含むロジックダイと、複数のタイプのメモリを含むメモリブロックのアレイを含むメモリダイと、ロジックダイとメモリダイとの間のボンディングインターフェースであって、プログラマブルロジックブロックのアレイが、ボンディングインターフェースを通してメモリブロックのアレイに電気的に接続されるようになっている、ボンディングインターフェースとを含む。
添付の図面は、本明細書に組み込まれており、明細書の一部を形成しており、添付の図面は、本開示の実施形態を図示しており、さらに、説明とともに本開示の原理を説明する役割を果たし、また、当業者が本開示を作製および使用することを可能にする役割を果たす。
プロセッサおよび異種メモリを有するシステムのブロック図である。 異種メモリを有するマルチチップパッケージ(MCP)の中の半導体デバイスの断面の概略図である。 いくつかの実施形態による、プログラマブルロジックブロックのアレイを有する例示的なロジックダイ、および、メモリブロックのアレイを有する例示的なメモリダイの概略平面図である。 いくつかの実施形態による、一緒に結合された図3Aの中のロジックダイおよびメモリダイを有する半導体デバイスの断面の概略図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する例示的な半導体デバイスの断面の概略図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する別の例示的な半導体デバイスの断面の概略図である。 いくつかの実施形態による、プログラマブルロジックデバイスを有する例示的な半導体構造体の概略平面図である。 いくつかの実施形態による、NANDメモリおよび周辺回路を有する例示的な半導体構造体の概略平面図である。 いくつかの実施形態による、DRAMおよび周辺回路を有する例示的な半導体構造体の概略平面図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび周辺回路を有する例示的な半導体構造体の概略平面図である。 いくつかの実施形態による、NANDメモリを有する例示的な半導体構造体の概略平面図である。 いくつかの実施形態による、DRAMを有する例示的な半導体構造体の概略平面図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する例示的な半導体デバイスの断面を図示する図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する別の例示的な半導体デバイスの断面を図示する図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび周辺回路を有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび周辺回路を有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、DRAMセルを有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、DRAMセルを有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、DRAMセルを有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する例示的な半導体デバイスを形成するための製作プロセスを図示する図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する例示的な半導体デバイスを形成するための製作プロセスを図示する図である。 いくつかの実施形態による、例示的な半導体構造体をダイシングおよび結合するための製作プロセスを図示する図である。 いくつかの実施形態による、例示的な半導体構造体をダイシングおよび結合するための製作プロセスを図示する図である。 いくつかの実施形態による、例示的な半導体構造体をダイシングおよび結合するための製作プロセスを図示する図である。 いくつかの実施形態による、例示的な半導体構造体を結合およびダイシングするための製作プロセスを図示する図である。 いくつかの実施形態による、例示的な半導体構造体を結合およびダイシングするための製作プロセスを図示する図である。 いくつかの実施形態による、例示的な半導体構造体を結合およびダイシングするための製作プロセスを図示する図である。 いくつかの実施形態による、例示的な半導体構造体を結合およびダイシングするための製作プロセスを図示する図である。 いくつかの実施形態による、2D NANDメモリセルを有する例示的な半導体構造体の断面を図示する図である。 いくつかの実施形態による、NANDメモリおよび周辺回路を有する例示的な半導体構造体の断面を図示する図である。 いくつかの実施形態による、NANDメモリおよび周辺回路を有する別の例示的な半導体構造体の断面を図示する図である。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する半導体デバイスを形成するための例示的な方法のフローチャートである。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する半導体デバイスを形成するための例示的な方法のフローチャートである。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する半導体デバイスを形成するための別の例示的な方法のフローチャートである。 いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する半導体デバイスを形成するための別の例示的な方法のフローチャートである。 いくつかの実施形態による、プログラマブルロジックデバイスを有する半導体デバイスをプログラムするための例示的な方法のフローチャートである。
本開示の実施形態が、添付の図面を参照して説明されることとなる。
特定の構成および配置が議論されているが、これは、単に例示目的のためだけに行われているということが理解されるべきである。本開示の要旨および範囲から逸脱することなく、他の構成および配置が使用され得るということを、当業者は認識することとなる。本開示は、さまざまな他の用途においても用いられ得るということが、当業者に明らかであることとなる。
本明細書における「1つの実施形態」、「ある実施形態」、「ある例示的な実施形態」、「いくつかの実施形態」などに対する言及は、説明されている実施形態が、特定の特徴、構造体、または特質を含むことが可能であるが、すべての実施形態が、必ずしも、その特定の特徴、構造体、または特質を含むとは限らない可能性があるということを示しているということが留意される。そのうえ、そのような語句は、必ずしも、同じ実施形態を指しているとは限らない。さらに、特定の特徴、構造体、または特質が、実施形態に関連して説明されているときには、明示的に説明されているかどうかにかかわらず、他の実施形態に関連して、そのような特徴、構造体、または特質に影響を与えることは、当業者の知識の範囲内であることとなる。
一般的に、専門用語は、文脈における使用法から少なくとも部分的に理解され得る。たとえば、本明細書で使用されているような「1つまたは複数の」という用語は、少なくとも部分的に文脈に応じて、単数形の意味で、任意の特徴、構造体、または特質を説明するために使用され得るか、または、複数形の意味で、特徴、構造体、または特質の組合せを説明するために使用され得る。同様に、「a」、「an」、または「the」などのような用語は、繰り返しになるが、少なくとも部分的に文脈に応じて、単数形の使用法を伝えるということ、または、複数形の使用法を伝えるということを理解され得る。加えて、「基づく」という用語は、必ずしも、排他的な要因のセットを伝えることを意図しているとは限らないということが理解され得、その代わりに、繰り返しになるが、少なくとも部分的に文脈に応じて、必ずしも明示的に記載されていない追加的な要因の存在を可能にする可能性がある。
本開示における「の上に」、「の上方に(above)」、および「の上方に(over)」の意味は、最も広い様式で解釈されるべきであり、「の上に」は、何か「の上に直接的に」を意味するだけではなく、中間特徴または層がそれらの間にある状態で、何か「の上に」を意味することも含むようになっており、「の上方に(above)」または「の上方に(over)」は、何か「の上方に(above)」または「の上方に(over)」を意味するだけでなく、中間特徴または層がそれらの間にない状態で、それが何か「の上方に(above)」または「の上方に(over)」(すなわち、何かの上に直接的に)あることを意味することも含むことが可能であるということが容易に理解されるべきである。
さらに、「の下に」、「の下方に」、「下側」、「の上方に」、および「上側」などのような、空間的に相対的な用語は、説明を容易にするために、図に図示されているような別のエレメントまたは特徴に対する1つのエレメントまたは特徴の関係を説明するために本明細書で使用され得る。空間的に相対的な用語は、図に示されている配向に加えて、使用中または動作中のデバイスの異なる配向を包含することを意図している。装置は、その他の方法で配向され得(90度回転させられるか、または、他の配向で)、本明細書で使用されている空間的に相対的な記述子は、同様にそのように解釈され得る。
本明細書で使用されているように、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体が、パターニングされ得る。基板の上に追加された材料は、パターニングされ得、または、パターニングされないままであることが可能である。そのうえ、基板は、シリコン、ゲルマニウム、ガリウムヒ素、リン化インジウムなどのような、多様な半導体材料を含むことが可能である。代替的に、基板は、ガラス、プラスチック、またはサファイヤウエハなどのような、非導電性材料から作製され得る。
本明細書で使用されているように、「層」という用語は、所定の厚さを有する領域を含む材料部分を指す。層は、下にあるもしくは上にある構造体の全体にわたって延在することが可能であり、または、下にあるもしくは上にある構造体の延在よりも小さい延在を有することが可能である。さらに、層は、連続的な構造体の厚さよりも小さい厚さを有する均質なまたは不均質な連続的な構造体の領域であることが可能である。たとえば、層は、連続的な構造体の上部表面と底部表面との間において(または、上部表面および底部表面において)、水平方向の平面の任意のペアの間に位置付けされ得る。層は、水平方向に、垂直方向に、および/または、テーパー付きの表面に沿って延在することが可能である。基板は、層であることが可能であり、その中に1つまたは複数の層を含むことが可能であり、ならびに/または、その上に、その上方に、および/もしくはその下方に、1つまたは複数の層を有することが可能である。層は、複数の層を含むことが可能である。たとえば、相互接続層は、1つまたは複数の導体および接触層(相互接続ラインおよび/またはビア接触部が、その中に形成されている)ならびに1つまたは複数の誘電体層を含むことが可能である。
本明細書で使用されているように、「公称の/公称的に」という用語は、所望の値の上方および/または下方の値の範囲とともに、製品またはプロセスの設計フェーズの間に設定される、コンポーネントまたはプロセス動作に関する特質またはパラメーターの所望の(または、ターゲット)値を指す。値の範囲は、製造プロセスまたは公差におけるわずかな変動に起因する可能性がある。本明細書で使用されているように、「約」という用語は、対象の半導体デバイスに関連付けられる特定のテクノロジーノードに基づいて変化し得る所与の量の値を示している。特定のテクノロジーノードに基づいて、「約」という用語は、たとえば、値の10~30%(たとえば、値の±10%、±20%、または±30%)以内で変化する所与の量の値を示すことが可能である。
本明細書で使用されているように、「3次元(3D)NANDメモリストリング」という用語は、メモリセルトランジスタのストリングが基板に対して垂直方向に延在するように横方向に配向された基板の上に直列に接続されている、メモリセルトランジスタの垂直方向に配向されたストリングを指す。本明細書で使用されているように、「垂直方向の/垂直方向に」という用語は、基板の横方向の表面に対して公称的に垂直であるということを意味している。
本明細書で使用されているように、「ウエハ」は、半導体デバイスがその中におよび/またはその上に構築するための半導体材料のピースであり、それは、ダイへと分離される前に、さまざまな製作プロセスを受けることが可能である。
異種メモリ(たとえば、NANDフラッシュメモリおよびDRAMなど)は、プロセッサ(中央処理装置(CPU)などのような、「マイクロプロセッサ」としても知られる)と一体化され、さまざまな用途のためのシステムを形成している。たとえば、図1は、プロセッサおよび異種メモリを有するシステム100のブロック図を図示している。システム100は、ハイブリッドコントローラ102と、1つまたは複数のDRAM104および1つまたは複数のNANDメモリ106を含む異種メモリと、プロセッサ108とを含む。また、システム100は、スタティックランダムアクセスメモリ(SRAM)によって形成された1つまたは複数のキャッシュ(たとえば、インストラクションキャッシュまたはデータキャッシュ、図示せず)を含む。ハイブリッドコントローラ102は、DRAM104およびNANDメモリ106の動作を制御する。すなわち、ハイブリッドコントローラ102は、NANDメモリ106の中のデータストレージおよび転送を管理するNANDメモリコントローラ、ならびに、DRAM104の中のデータストレージおよび転送を管理するDRAMコントローラの両方として作用する。プロセッサ108は、1つまたは複数の統合されたまたは個別のプロセッサコア、たとえば、任意の適切な論理演算を実施するように構成された実行論理/エンジンなどを含む。ハイブリッドコントローラ102およびプロセッサ108のそれぞれは、1つまたは複数のバスインターフェースユニット(図示せず)をさらに含み、1つまたは複数のバスインターフェースユニットは、システム100の中で、および、システム100と他のデバイスとの間で、データを受信および送信するように構成されている。
図2は、異種メモリを有するMCP202の中の半導体デバイス200の断面の概略図を図示している。MCP202(マルチチップモジュール(MCM)としても知られる)は、電子アッセンブリ、たとえば、複数の導体端子(すなわち、ピン)204を備えたパッケージなどであり、そこでは、複数の集積回路(ICまたは「チップ」)、半導体ダイ、および/または他の個別のコンポーネントが、回路基板206の上に集積されている。図2に示されているように、さまざまなチップレットが、MCP202の中の回路基板206の上にスタックされており、それは、DRAMダイ210およびNANDダイ212を含む。メモリダイのそれぞれは(たとえば、DRAMダイ210またはNANDダイ212)、ダイ-ツー-ダイのワイヤーボンディングを通して、回路基板206に電気的に接続されており、ワイヤーボンディングは、それに限定されないが、ボールボンディング、ウェッジボンディング、またはコンプライアントボンディングに基づく、アルミニウム(Al)、銅(Cu)、銀(Ag)、または金(Au)ボンディングワイヤーを含む。それぞれのDRAMダイ210は、DRAM104の例示的な実装形態であり、それぞれのNANDダイ212は、図1に関して詳細に上記に説明されているNANDメモリ106の例示的な実装形態である。
しかし、プロセッサ(とりわけ、CPU)は、逐次処理のために最適化されており、並列処理のための十分なサポートを欠いており、高速処理のためのそれらの能力において制限されている。プロセッサとは異なり、FPGAは、プログラマブルロジックデバイス(PLD)の1つのタイプとして、本質的に真に並列になっており、したがって、異なる処理動作は、同じリソースを求めて競合する必要がなく、それは、たとえば、機械学習、暗号化/暗号解読、ならびに、ビデオおよびイメージデータ圧縮または他の処理のために、大量のデータを分析する際など、高性能コンピューティングにとってとりわけ適切である。他方では、プログラマブルロジックデバイス(とりわけ、FPGA)のアプリケーションは、そのコストおよび作業周波数に制限される。FPGAチップの比較的に大きいチップ面積消費は、高いコストを引き起こし、信号転送遅延(たとえば、メタルルーティング(metal routing)からの抵抗性-容量性(resistive-capacitive)(RC)遅延など)は、作業周波数を制限する。
本開示によるさまざまな実施形態は、ユニファイド半導体デバイスを提供し、ユニファイド半導体デバイスは、結合されたチップの上に集積されたプログラマブルロジックデバイスおよび異種メモリ(たとえば、DRAMおよびNANDメモリ)を有しており、より高い作業周波数、より広いデータバンド幅、より低いパワー消費、およびより低いコストを実現する。異種メモリアーキテクチャーは、不揮発性メモリおよび揮発性メモリの両方の利点(たとえば、NANDメモリの大きいストレージ容量、および、DRAMの速いアクセス速度)を利用することが可能であり、それによって、回路設計のためのプロセスウィンドウを広げる。1つの例において、異種メモリアーキテクチャーは、パワー中断に起因してシステムが再起動するときに、それぞれのNANDメモリからそれぞれのDRAMへ論理的-物理的アドレスマップ(logical-physical address map)をリロードすることによって、より速いパワーオン速度を実現することが可能である。
そのうえ、プロセッサをプログラマブルロジックデバイス(たとえば、FPGAなど)と交換することによって、追加的なオンチップキャッシュは、論理的-物理的アドレスマップに対するアップデートを記憶するために必要とされない可能性があり、それによって、チップサイズ、クリティカルパス距離、RC遅延、およびパワー消費をさらに低減させる。メモリを通してチップの上の他のロジックデバイスと通信する必要があるプロセッサと比較して、FPGAの中のプログラマブルロジックブロックと他のロジックデバイスとの間の接続は、プログラミングのタイミングに事前設定される。したがって、システム性能が、さらに改善され得る。
1つの例において、本明細書で開示されている半導体デバイスは、ロジックダイを含むことが可能であり、ロジックダイは、さまざまな異種メモリを含むメモリブロックのアレイを有するメモリダイと結合された(たとえば、FPGAの中の)プログラマブルロジックブロックのアレイを有している。別の例において、本明細書で開示されている半導体デバイスは、異種メモリを含むことが可能であり、たとえば、(たとえば、不揮発性メモリとして)NANDメモリを有する第1の半導体構造体、および、(たとえば、揮発性メモリとして)DRAMを有する第2の半導体構造体などを含むことが可能である。本明細書で開示されている半導体デバイスは、(たとえば、異種メモリのコントローラとして)プログラマブルロジックデバイスを有する第3の半導体構造体をさらに含むことが可能であり、プログラマブルロジックデバイスは、周辺に分配された長距離のメタルルーティング、または、さらには従来のシリコン貫通電極(TSV)の代わりに、多数の短距離の垂直方向金属相互接続によって、第1および第2の半導体構造体のそれぞれに結合されている。
結果として、最適なインスタントデータおよびステートストレージ能力は、より高い信号対雑音(S/N)比、より良好なメモリアレイ効率、より小さいダイサイズ、およびより低いビットコスト、機能モジュール(たとえばプログラマブルロジックブロック、クロック管理、入力/出力(I/O)インターフェースなど)のより高密度な配置、より速い速度、および、より小さいプリント回路基板(PCB)サイズを同時に実現することが可能である。さらに、プログラマブルロジックデバイスウエハ、NANDメモリウエハ、およびDRAMウエハの製造プロセスからのより少ない相互作用的な影響に起因して、より高い歩留まりを伴うより短い製造サイクルタイムが実現され得、同様に、公知の良好なハイブリッドボンディング歩留まりが実現され得る。プログラマブルロジックデバイス、NANDメモリ、およびDRAMの間のより短い接続距離(たとえば、ミリメートルまたはセンチメートルレベルからマイクロメートルレベルなど)は、より速いデータ転送レートによってプログラマブルロジックデバイスおよびメモリの性能を改善し、より広いバンド幅によってプログラマブルロジックデバイス効率を改善し、システム速度を改善することが可能である。
図3Aは、いくつかの実施形態による、プログラマブルロジックブロック303のアレイを有する例示的なロジックダイ301、および、メモリブロック304のアレイを有する例示的なメモリダイ302の概略平面図を図示している。ロジックダイ301は、プログラマブルロジックブロック303のアレイから構成されたプログラマブルロジックデバイスを含むことが可能である。プログラマブルロジックデバイスは、再構成可能なデジタル回路を構築するために使用される電子部品であり、それは、製造時に未定義の関数を有しており、製造後にプログラムを使用することによってプログラムされる(再構成される)。プログラマブルロジックデバイスは、たとえば、プログラマブルロジックアレイ(PLA)、プログラマブルアレイロジック(PAL)、ジェネリックアレイロジック(GAL)、コンプレックスプログラマブルロジックデバイス(CPLD)、およびFPGAを含むことが可能である。また、ロジックダイ301は、I/Oインターフェース回路305およびクロック管理回路307などのような、複数の論理回路を含むことが可能である。たとえば、メモリの周辺回路など、任意の他の適切な論理回路が、同様にロジックダイ301の中に含まれ得るということが理解される。
メモリダイ302は、メモリブロック304のアレイの形態の異種メモリを含むことが可能である。メモリブロック304は、NANDメモリ(不揮発性メモリとして)、DRAM(揮発性メモリとして)、および相変化メモリ(PCM)のうちの少なくとも2つを含む、複数のタイプのメモリ(すなわち、異種メモリ)を含む。1つの例において、メモリブロック304のうちのいくつかは、NANDメモリであることが可能であり、メモリブロック304のうちのいくつかは、DRAMであることが可能である。別の例において、メモリブロック304のうちのいくつかは、PCMであることが可能である。PCMは、電熱的な相変化材料の加熱および急冷に基づく、相変化材料(たとえば、カルコゲニド合金)における非晶相の抵抗率と結晶相の抵抗率との間の差を利用することが可能である。PCMは、3D XPointメモリを含み、3D XPointメモリは、ビットアドレス可能であることとなるスタック可能なクロスポイントデータアクセスアレイとともに、(たとえば、高抵抗状態または低抵抗状態の)バルク材料特性の抵抗の変化に基づいて、データを記憶する。いくつかの実施形態において、ロジックダイ301は、プログラマブルロジックデバイス(たとえば、FPGAなど)を使用し、プロセッサを交換するので、メモリダイ302の中の複数のタイプのメモリは、(たとえば、プログラマブルロジックブロック303のアレイによって共有されるキャッシュとして)SRAMを含まない。結果として、いくつかの実施形態によれば、ロジックダイ301の中のプログラマブルロジックブロック303のアレイ、および、メモリダイ302の中のメモリブロック304のアレイは、SRAMキャッシュを共有しない。
また、ロジックダイ301と同様に、メモリダイ302は、I/Oインターフェース回路306およびクロック管理回路308などのような、複数の論理回路を含むことが可能である。たとえば、メモリブロック304のアレイの周辺回路など、任意の他の適切な論理回路が、同様にメモリダイ302の中に含まれ得るということが理解される。いくつかの実施形態において、論理回路は、ロジックダイ301およびメモリダイ302のうちの一方のみの上に形成され得るということが理解される。すなわち、ロジックダイ301またはメモリダイ302の少なくとも1つは、複数の論理回路305/307または306/308を含むことが可能である。
図3Bは、いくつかの実施形態による、一緒に結合された図3Aの中のロジックダイ301およびメモリダイ302を有する半導体デバイス300の断面の概略図を図示している。半導体デバイス300は、ユニファイド半導体デバイスであり、ユニファイド半導体デバイスは、たとえば、FPGA対応のコントローラ、FPGA対応のネットワークスイッチ/ルーター、FPGA搭載のシステムオンチップ(SoC)、再構成可能なI/Oデバイスなどの、任意の適切なコンピューティングシステムであることが可能である。また、半導体デバイス300は、埋め込み用マルチメディアカード(eMMC)、ユニバーサルフラッシュストレージ(UFS)、またはソリッドステートドライブ(SSD)などのような、任意の適切なメモリシステムであることも可能である。半導体デバイス300において、ロジックダイ301のプログラマブルロジックブロック303のアレイは、結合されたロジックダイ301および/またはメモリダイ302の動作を制御し、メモリダイ302のメモリブロック304のアレイとデータを交換することが可能である。
図3Bに示されているように、半導体デバイス300は、メモリダイ302とロジックダイ301との間に垂直方向にボンディングインターフェース310をさらに含み、プログラマブルロジックブロック303のアレイが、ボンディングインターフェース310を通してメモリブロック304のアレイに電気的に接続されるようになっている。メモリダイ302およびロジックダイ301は、別個に(および、いくつかの実施形態では、並列に)製作され得、メモリダイ302およびロジックダイ301のうちの1つを製作するサーマルバジェットが、メモリダイ302およびロジックダイ301のうちの別のものを製作するプロセスを制限しないようになっている。そのうえ、多数の相互接続部(たとえば、ボンディング接触部)が、ボンディングインターフェース310を通して形成され、回路基板(たとえば、PCBなど)の上の長距離の(たとえば、ミリメートルまたはセンチメートルレベルの)チップ-ツー-チップ(chip-to-chip)データバスとは対照的に、メモリダイ302とロジックダイ301との間で直接的な短距離の(たとえば、ミクロンレベルの)電気的接続を作製することが可能であり、それによって、チップインターフェース遅延を排除し、低減されたパワー消費によって高速I/Oスループットを実現する。メモリダイ302の中のメモリブロック304とロジックダイ301の中のプログラマブルロジックブロック303との間のデータ転送は、ボンディングインターフェース310を横切る相互接続部(たとえば、ボンディング接触部)を通して実施され得る。メモリダイ302およびロジックダイ301を垂直方向に一体化させることによって、チップサイズが低減され得、メモリセル密度が増加させられ得る。
いくつかの実施形態において、メモリダイ302の中のメモリブロック304のうちのいくつかは、NANDメモリであり、論理的-物理的アドレスマップを記憶するように構成されており、ロジックダイ301の中のプログラマブルロジックブロック303は、半導体デバイス300がパワーオンされるときには、NANDメモリからいくつかの他のメモリブロック304(それらは、DRAMである)の中へ論理的-物理的アドレスマップをロードするように構成されている。論理的アドレスは、実行の間にプログラマブルロジックブロック303によって発生させられるアドレスであり、物理的アドレスは、メモリブロック304の中の場所である。論理的-物理的アドレスマップは、物理的アドレスを論理的アドレスにマッピングすることが可能である。半導体デバイス300がパワーオンされるときには、ロジックダイ301のプログラマブルロジックブロック303は、メモリダイ302の中のメモリブロック304同士の間のデータ交換、たとえば、NANDメモリとDRAMとの間の、または、NANDメモリとNANDメモリとの間のデータ交換などを制御するように構成され得る。予期しない停電が起こる場合、ロジックダイ301の中のプログラマブルロジックブロック303は、パワーが再開するときにリブート速度に影響を与えることなく、NANDメモリからDRAMの中へ論理的-物理的アドレスマップをリロードすることが可能である。プロセッサをロジックダイ301の中のプログラマブルロジックブロック303と交換することによって、論理的-物理的アドレスマップに対するアップデートのログを記憶するためのSRAMキャッシュは必要とされないことが可能であり、それは、チップサイズをさらに低減させる。
図4Aは、いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する例示的な半導体デバイス400の断面の概略図を図示している。半導体デバイス400は、結合された半導体デバイスの例を表している。半導体デバイス400のコンポーネント(たとえば、プログラマブルロジックデバイス(PLD)、NANDメモリ、およびDRAM)は、異なる基板の上に別個に形成され、次いで、一緒に接合され、結合されたチップを形成することが可能である。
半導体デバイス400は、NANDメモリセルのアレイを含む第1の半導体構造体402を含むことが可能である。すなわち、第1の半導体構造体402は、NANDフラッシュメモリデバイスであることが可能であり、NANDフラッシュメモリデバイスの中には、メモリセルが、3D NANDメモリストリングのアレイおよび/または2次元の(2D)NANDメモリセルのアレイの形態で提供されている。NANDメモリセルは、ページへとまとめられ得、次いで、ページは、ブロックへとまとめられ、ブロックの中のそれぞれのNANDメモリセルは、ビットライン(BL)と呼ばれる別個のラインに電気的に接続されている。NANDメモリセルの中において同じ垂直方向の位置を有するすべてのメモリセルは、ワードライン(WL)によって制御ゲートを通して電気的に接続され得る。いくつかの実施形態において、メモリ平面は、同じビットラインを通して電気的に接続されている特定の数のブロックを含有している。
いくつかの実施形態において、NANDメモリセルのアレイは、2D NANDメモリセルのアレイであり、そのそれぞれは、フローティングゲートトランジスタを含む。2D NANDメモリセルのアレイは、複数の2D NANDメモリストリングを含み、そのそれぞれは、いくつかの実施形態によれば、直列に接続されている複数のメモリセル(たとえば、32個から128個のメモリセル)(NANDゲートに似ている)および2つの選択トランジスタを含む。それぞれの2D NANDメモリストリングは、いくつかの実施形態によれば、基板の上の同じ平面に(2Dに)配置されている。いくつかの実施形態において、NANDメモリセルのアレイは、3D NANDメモリストリングのアレイであり、そのそれぞれは、メモリスタックを通して基板の上方に垂直方向に(3Dに)延在している。3D NAND技術(たとえば、メモリスタックの中の層/階層の数)に応じて、3D NANDメモリストリングは、典型的に、32個から256個のNANDメモリセルを含み、そのそれぞれは、フローティングゲートトランジスタまたはチャージトラップトランジスタを含む。
また、半導体デバイス400は、DRAMセルのアレイを含む第2の半導体構造体404を含むことが可能である。すなわち、第2の半導体構造体404は、DRAMメモリデバイスであることが可能である。DRAMは、メモリセルを定期的にリフレッシュすることを必要とする。いくつかの実施形態において、それぞれのDRAMセルは、プラスのまたはマイナスの電荷としてデータのビットを記憶するためのキャパシタと、それへのアクセスを制御する1つまたは複数のトランジスタとを含む。1つの例において、それぞれのDRAMセルは、1-トランジスタ、1-キャパシタ(1T1C)セルである。
半導体デバイス400は、プログラマブルロジックデバイスを含む第3の半導体構造体406をさらに含むことが可能である。いくつかの実施形態において、第3の半導体構造体406の中のプログラマブルロジックデバイスは、相補型金属酸化膜半導体(CMOS)技術を使用する。プログラマブルロジックデバイスは、先進的なロジックプロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどのテクノロジーノード)によって実装され、高い速度を実現することが可能である。
プログラマブルロジックデバイスは、再構成可能なデジタル回路を構築するために使用される電子部品であり、それは、製造時に未定義の関数を有しており、製造後にプログラムを使用することによってプログラムされる(再構成される)。プログラマブルロジックデバイスは、たとえば、PLA、PAL、GAL、CPLD、およびFPGAを含むことが可能である。FPGAは、ハードウェア記述言語(HDL)を使用して、製造後に顧客または設計者によって構成され得る集積回路である(すなわち、「フィールド-プログラマブル」)。いくつかの実施形態によれば、FPGAは、プログラマブルロジックブロックのアレイ、および、異なる論理関数を実装するために異なる構成でプログラマブルロジックブロックが接続されることを可能にする再構成可能な相互接続部のヒエラルキーを含む。プログラマブルロジックブロック(構成可能ロジックブロック(CLB)、スライス、またはロジックセルとしても知られる)は、FPGAの基本ロジックユニットであり、2つの基本コンポーネント(フリップフロップおよびルックアップテーブル(LUT))から構成され得る。いくつかのFPGAは、固定関数ロジックブロック(たとえば、乗算器)、メモリ(たとえば、埋め込み用RAM)、およびI/Oブロックをさらに含む。
プロセッサとは異なり、FPGAは、いくつかの実施形態によれば、本質的に真に並列になっており、したがって、異なる処理動作は、同じリソースを求めて競合する必要がない。それぞれの独立した処理タスクは、FPGAの専用セクションに割り当てられ得、他のロジックブロックからの影響なく自律的に機能することが可能である。結果として、いくつかの実施形態によれば、より多くの処理を追加するときに、アプリケーションの一部の性能は影響を受けない。いくつかの実施形態において、プロセッサベースのシステムを上回るFPGAの別の利益は、アプリケーションロジックが、オペレーティングシステム(OS)、ドライバー、およびアプリケーションソフトウェアの上で実行するというよりもむしろ、ハードウェア回路の中で実装されるということである。
プログラマブルロジックデバイス以外の他の処理ユニット(「論理回路」としても知られる)が、同様に第3の半導体構造体406の中に形成され得、それは、たとえば、第1の半導体構造体402の中のNANDメモリの周辺回路の全体もしくは一部、および/または、第2の半導体構造体404の中のDRAMの周辺回路の全体もしくは一部などである。いくつかの実施形態において、半導体デバイス400の第3の半導体構造体406は、第1の半導体構造体402の中のNANDメモリの周辺回路の全体または一部をさらに含む。周辺回路(制御およびセンシング回路としても知られる)は、NANDメモリの動作を促進させるために使用される任意の適切なデジタル、アナログ、および/または混合信号回路を含むことが可能である。たとえば、周辺回路は、ページバッファ、デコーダ(たとえば、行デコーダおよび列デコーダ)、センスアンプ、ドライバー(たとえば、ワードラインドライバ)、チャージポンプ、電流もしくは電圧リファレンス、または、回路(たとえば、トランジスタ、ダイオード、抵抗器、またはキャパシタ)の任意のアクティブもしくはパッシブコンポーネントのうちの1つまたは複数を含むことが可能である。いくつかの実施形態において、半導体デバイス400の第3の半導体構造体406は、第2の半導体構造体404の中のDRAMの周辺回路の全体または一部をさらに含む。周辺回路(制御およびセンシング回路としても知られる)は、DRAMの動作を促進させるために使用される任意の適切なデジタル、アナログ、および/または混合信号回路を含むことが可能である。たとえば、周辺回路は、入力/出力バッファー、デコーダ(たとえば、行デコーダおよび列デコーダ)、センスアンプ、または、回路(たとえば、トランジスタ、ダイオード、抵抗器、またはキャパシタ)の任意のアクティブもしくはパッシブコンポーネントのうちの1つまたは複数を含むことが可能である。いくつかの実施形態において、第1の半導体構造体402は、NANDメモリの周辺回路の全体または一部を含み、第2の半導体構造体404は、DRAMの周辺回路の全体または一部を含む。
図4Aに示されているように、半導体デバイス400は、垂直方向に第1の半導体構造体402と第3の半導体構造体406との間に第1のボンディングインターフェース408をさらに含み、垂直方向に第2の半導体構造体404と第3の半導体構造体406との間に第2のボンディングインターフェース410をさらに含む。いくつかの実施形態によれば、第1のボンディングインターフェース408および第2のボンディングインターフェース410は、同じ平面にある。すなわち、いくつかの実施形態において、第1の半導体構造体402および第2の半導体構造体404は、互いにスタックされているのではなく、その代わりに、両方が、第3の半導体構造体406の上方に第3の半導体構造体406と接触してスタックされている。第3の半導体構造体406は、第1または第2の半導体構造体402または404のサイズよりも大きいサイズを有し、第1および第2の半導体構造体402および404の両方を収容することが可能である。
下記に詳細に説明されているように、第1の、第2の、および第3の半導体構造体402、404、および406は、別個に(および、いくつかの実施形態では、並列に)製作され得、第1の、第2の、および第3の半導体構造体402、404、および406のうちの1つを製作するサーマルバジェットが、第1の、第2の、および第3の半導体構造体402、404、および406のうちの別のものを製作するプロセスを制限しないようになっている。そのうえ、多数の相互接続部(たとえば、ボンディング接触部)が、第1および第2のボンディングインターフェース408および410を通して形成され、回路基板(たとえば、PCBなど)の上の長距離の(たとえば、ミリメートルまたはセンチメートルレベルの)チップ-ツー-チップデータバスとは対照的に、第1の半導体構造体402と第3の半導体構造体406との間で、および、第2の半導体構造体404と第3の半導体構造体406との間で直接的な短距離の(たとえば、ミクロンレベルの)電気的接続をそれぞれ作製することが可能であり、それによって、チップインターフェース遅延を排除し、低減されたパワー消費によって高速I/Oスループットを実現する。第1の半導体構造体402の中のNANDメモリと第3の半導体構造体406の中のプログラマブルロジックデバイスとの間のデータ転送は、第1のボンディングインターフェース408を横切る相互接続部(たとえば、ボンディング接触部)を通して実施され得る。同様に、第2の半導体構造体404の中のDRAMと第3の半導体構造体406の中のプログラマブルロジックデバイスの間のデータ転送は、第2のボンディングインターフェース410を横切る相互接続部(たとえば、ボンディング接触部)を通して実施され得る。第1の、第2の、および第3の半導体構造体402、404、および406を垂直方向に一体化させることによって、チップサイズが低減され得、メモリセル密度が増加させられ得る。そのうえ、「ユニファイド」チップとして、複数の個別のチップ(たとえば、プログラマブルロジックデバイスおよび異種メモリ)を単一の結合されたチップ(たとえば、半導体デバイス400)へと一体化させることによって、より速いシステム速度およびより小さいPCBサイズが、同様に実現され得る。
スタックされた第1の、第2の、および第3の半導体構造体402、404、および406の相対的位置は、制限されないということが理解される。図4Bは、いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する別の例示的な半導体デバイス401の断面の概略図を図示している。プログラマブルロジックデバイスを含む第3の半導体構造体406が、NANDメモリセルのアレイを含む第1の半導体構造体402、および、DRAMセルのアレイを含む第2の半導体構造体404の下方にある、図4Aの半導体デバイス400とは異なり、図4Bの半導体デバイス401では、第3の半導体構造体406が、第1の半導体構造体402および第2の半導体構造体404の上方にある。それにもかかわらず、いくつかの実施形態によれば、第1のボンディングインターフェース408は、半導体デバイス401の中で垂直方向に第1の半導体構造体402と第3の半導体構造体406との間に形成されており、第1および第3の半導体構造体402および406は、ボンディング(たとえば、ハイブリッドボンディング)を通して垂直方向に接合されている。同様に、いくつかの実施形態によれば、第2のボンディングインターフェース410は、半導体デバイス401の中で垂直方向に第2の半導体構造体404と第3の半導体構造体406との間に形成されており、第2および第3の半導体構造体404および406は、ボンディング(たとえば、ハイブリッドボンディング)を通して垂直方向に接合されている。第1の半導体構造体402の中のNANDメモリと第3の半導体構造体406の中のプログラマブルロジックデバイスとの間のデータ転送は、第1のボンディングインターフェース408を横切る相互接続部(たとえば、ボンディング接触部)を通して実施され得る。同様に、第2の半導体構造体404の中のDRAMと第3の半導体構造体406の中のプログラマブルロジックデバイスの間のデータ転送は、第2のボンディングインターフェース410を横切る相互接続部(たとえば、ボンディング接触部)を通して実施され得る。
図5Aは、いくつかの実施形態による、プログラマブルロジックデバイスを有する例示的な半導体構造体501の概略平面図を図示している。半導体構造体501は、図4Aおよび図4Bの中の第3の半導体構造体406の1つの例であることが可能である。半導体構造体501は、ロジックプロセスを使用して製作されるプログラマブルロジックデバイス(PLD)502を含むことが可能である。PLD502は、いくつか例を挙げると、PLA、PAL、GAL、CPLD、FPGAのうちの1つまたは複数を含むことが可能である。PLD502は、FPGAコアの1つまたは複数を含み、そのそれぞれは、いくつかの実施形態によれば、アレイで配置されている複数のプログラマブルロジックブロック504を含む。たとえば、それぞれのプログラマブルロジックブロック504は、1つまたは複数のLUTを含むことが可能である。1つまたは複数のプログラマブルロジックブロック504は、独立した処理タスクを実施するように構成され得る。いくつかの実施形態において、PLD502は、I/Oブロック518をさらに含む。プロセッサをPLD502(たとえば、FPGAなど)と交換することによって、PLD502の外側のキャッシュは、必要でない可能性がある。いくつかの実施形態において、半導体構造体501は、SRAMキャッシュを含んでおらず、それは、半導体構造体501のサイズをさらに低減させる。それぞれのプログラマブルロジックブロック504は依然としてそれ自体のメモリ(たとえば、レジスターなど)を含むことが可能であるが、メモリは、それぞれのプログラマブルロジックブロック504に特化されており、本明細書では「SRAMキャッシュ」(それは、他のコンポーネントによって共有され得る)と考えられないということが理解される。
図5Bは、いくつかの実施形態による、NANDメモリおよび周辺回路を有する例示的な半導体構造体503の概略平面図を図示している。半導体構造体503は、図4Aおよび図4Bの中の第1の半導体構造体402の1つの例であることが可能である。半導体構造体503は、NANDメモリ506の周辺回路と同じ基板の上にNANDメモリ506を含むことが可能である。半導体構造体503は、NANDメモリ506を制御およびセンシングするためのすべての周辺回路を含むことが可能であり、それは、たとえば、ワードラインドライバ508、ページバッファ510、および任意の他の適切なデバイスを含む。図5Bは、周辺回路(たとえば、ワードラインドライバ508、ページバッファ510)およびNANDメモリ506の例示的なレイアウトを示しており、そこでは、周辺回路(たとえば、ワードラインドライバ508、ページバッファ510)およびNANDメモリ506が、同じ平面の上の異なる領域の中に形成されている。たとえば、周辺回路(たとえば、ワードラインドライバ508、ページバッファ510)は、NANDメモリ506の外側に形成され得る。
図5Cは、いくつかの実施形態による、DRAMおよび周辺回路を有する例示的な半導体構造体505の概略平面図を図示している。半導体構造体505は、図4Aおよび図4Bの中の第2の半導体構造体404の1つの例であることが可能である。半導体構造体505は、DRAM512の周辺回路と同じ基板の上にDRAM512を含むことが可能である。半導体構造体505は、DRAM512を制御およびセンシングするためのすべての周辺回路を含むことが可能であり、それは、たとえば、行デコーダ514、列デコーダ516、および任意の他の適切なデバイスを含む。図5Cは、周辺回路(たとえば、行デコーダ514、列デコーダ516)およびDRAM512の例示的なレイアウトを示しており、そこでは、周辺回路(たとえば、行デコーダ514、列デコーダ516)およびDRAM512が、同じ平面の上の異なる領域の中に形成されている。たとえば、周辺回路(たとえば、行デコーダ514、列デコーダ516)は、DRAM512の外側に形成され得る。
半導体構造体501、503、および505のレイアウトは、図5A~図5Cの例示的なレイアウトに限定されないということが理解される。いくつかの実施形態において、NANDメモリ506の周辺回路の一部(たとえば、ワードラインドライバ508、ページバッファ510、および任意の他の適切なデバイスのうちの1つまたは複数)は、PLD502を有する半導体構造体501の中にあることが可能である。すなわち、NANDメモリ506の周辺回路は、いくつかの他の実施形態によれば、半導体構造体501および503の両方の上に分配され得る。いくつかの実施形態において、DRAM512の周辺回路の一部(たとえば、行デコーダ514、列デコーダ516、および任意の他の適切なデバイスのうちの1つまたは複数)は、PLD502を有する半導体構造体501の中にあることが可能である。すなわち、DRAM512の周辺回路は、いくつかの他の実施形態によれば、半導体構造体501および505の両方の上に分配され得る。いくつかの実施形態において、周辺回路(たとえば、ワードラインドライバ508、ページバッファ510)およびNANDメモリ506(たとえば、NANDメモリセルのアレイ)の少なくともいくつかは、互いに(すなわち、異なる平面において)スタックされている。たとえば、NANDメモリ506(たとえば、NANDメモリセルのアレイ)は、周辺回路の上方または下方に形成され、チップサイズをさらに低減させることが可能である。いくつかの実施形態において、周辺回路(たとえば、行デコーダ514、列デコーダ516)およびDRAM512(たとえば、DRAMセルのアレイ)の少なくともいくつかは、互いに(すなわち、異なる平面において)スタックされている。たとえば、DRAM512(たとえば、DRAMセルのアレイ)は、周辺回路の上方または下方に形成され、チップサイズをさらに低減させることが可能である。
図6Aは、いくつかの実施形態による、プログラマブルロジックデバイスおよび周辺回路を有する例示的な半導体構造体601の概略平面図を図示している。半導体構造体601は、図4Aおよび図4Bの中の第3の半導体構造体406の1つの例であることが可能である。半導体構造体601は、NANDメモリ506およびDRAM512の両方の周辺回路(たとえば、ワードラインドライバ508、ページバッファ510、行デコーダ514、列デコーダ516)と同じ基板の上にPLD502を含むことが可能であり、周辺回路と同じロジックプロセスを使用して製作され得る。PLD502は、いくつか例を挙げると、PLA、PAL、GAL、CPLD、FPGAのうちの1つまたは複数を含むことが可能である。PLD502は、FPGAコアの1つまたは複数を含み、そのそれぞれは、いくつかの実施形態によれば、アレイで配置されているプログラマブルロジックブロック504を含む。たとえば、それぞれのプログラマブルロジックブロック504は、1つまたは複数のLUTを含むことが可能である。いくつかの実施形態において、PLD502は、I/Oブロック518をさらに含む。
周辺回路(たとえば、ワードラインドライバ508、ページバッファ510、行デコーダ514、列デコーダ516)は、PLD502の外側に配設され得る。たとえば、図6Aは、例示的なレイアウトを示しており、そこでは、周辺回路が、PLD502の外側に分配されている。半導体構造体601は、NANDメモリ506を制御およびセンシングするためのすべての周辺回路を含むことが可能であり、それは、たとえば、ワードラインドライバ508、ページバッファ510、および任意の他の適切なデバイスを含む。また、半導体構造体601は、DRAM512を制御およびセンシングするためのすべての周辺回路を含むことが可能であり、それは、たとえば、行デコーダ514、列デコーダ516、および任意の他の適切なデバイスを含む。図6Aは、周辺回路(たとえば、ワードラインドライバ508、ページバッファ510、行デコーダ514、列デコーダ516)の例示的なレイアウトを示しており、そこでは、周辺回路が、PLD502の外側に同じ平面に形成されている。いくつかの実施形態において、周辺回路(たとえば、ワードラインドライバ508、ページバッファ510、行デコーダ514、列デコーダ516)およびPLD502の少なくともいくつかは、互いに(すなわち、異なる平面において)スタックされているということが理解される。たとえば、PLD502は、周辺回路の上方または下方に形成され、チップサイズをさらに低減させることが可能である。
図6Bは、いくつかの実施形態による、NANDメモリを有する例示的な半導体構造体603の概略平面図を図示している。半導体構造体603は、図4Aおよび図4Bの中の第1の半導体構造体402の1つの例であることが可能である。すべての周辺回路(たとえば、ワードラインドライバ508、ページバッファ510)を半導体構造体603から離れるように(たとえば、半導体構造体601へ)移動させることによって、半導体構造体603の中のNANDメモリ506のサイズ(たとえば、NANDメモリセルの数)が増加させられ得る。
図6Cは、いくつかの実施形態による、DRAMを有する例示的な半導体構造体605の概略平面図を図示している。半導体構造体605は、図4Aおよび図4Bの中の第2の半導体構造体404の1つの例であることが可能である。すべての周辺回路(たとえば、行デコーダ514、列デコーダ516)を半導体構造体605から離れるように(たとえば、半導体構造体601へ)移動させることによって、半導体構造体605の中のDRAM512のサイズ(たとえば、DRAMセルの数)が増加させられ得る。
図7Aは、いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する例示的な半導体デバイス700の断面を図示している。図4Aを参照して上記に説明されている半導体デバイス400の1つの例として、半導体デバイス700は、第1の半導体構造体702と、第2の半導体構造体704と、第3の半導体構造体706とを含む結合されたチップであり、第1および第2の半導体構造体702および704の両方は、第3の半導体構造体706の上方にスタックされている。いくつかの実施形態によれば、第1および第3の半導体構造体702および706は、それらの間の第1のボンディングインターフェース708において接合されている。いくつかの実施形態によれば、第2および第3の半導体構造体704および706は、それらの間の第2のボンディングインターフェース710において接合されている。いくつかの実施形態によれば、第1のボンディングインターフェース708および第2のボンディングインターフェース710は、たとえば、第3の半導体構造体706の表面の上部において、同じ平面にある。図7Aに示されているように、第3の半導体構造体706は、基板712を含むことが可能であり、基板712は、シリコン(たとえば、単結晶シリコン、c-Si)、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、ゲルマニウム(Ge)、シリコンオンインシュレーター(SOI)、または任意の他の適切な材料を含むことが可能である。
半導体デバイス700の第3の半導体構造体706は、基板712の上方にデバイス層714を含むことが可能である。半導体デバイス700の中のコンポーネントの空間的関係をさらに図示するために、x軸およびy軸が図7Aに追加されているということが留意される。基板712は、x方向(横方向または幅方向)に横方向に延在している2つの横方向表面(たとえば、上部表面および底部表面)を含む。本明細書で使用されているように、1つのコンポーネント(たとえば、層またはデバイス)が半導体デバイス(たとえば、半導体デバイス700)の別のコンポーネント(たとえば、層またはデバイス)の「上に」、「上方に」、または「下方に」あるかどうかは、基板がy方向に半導体デバイスの最も低い平面に位置決めされているときには、半導体デバイスの基板(たとえば、基板712)に対してy方向(垂直方向または厚さ方向)に決定される。空間的関係を説明するための同じ概念が、本開示の全体を通して適用される。
いくつかの実施形態において、デバイス層714は、基板712の上にプログラマブルロジックデバイス716を含む。いくつかの実施形態において、デバイス層714は、基板712の上に、および、プログラマブルロジックデバイス716の外側に、周辺回路720をさらに含む。たとえば、周辺回路720は、下記に詳細に説明されているように、半導体デバイス700のNANDメモリおよび/またはDRAMを制御およびセンシングするための周辺回路の一部または全体であることが可能である。いくつかの実施形態において、プログラマブルロジックデバイス716は、上記に詳細に説明されているように、プログラマブルロジックブロック(いくつかのケースでは、任意のI/Oブロック)のアレイを形成する複数のトランジスタ722を含む。いくつかの実施形態において、トランジスタ722は、また、周辺回路720を形成し、すなわち、NANDメモリおよび/またはDRAMの動作を促進させるために使用される任意の適切なデジタル、アナログ、および/または混合信号制御およびセンシング回路を形成し、それは、それに限定されないが、ページバッファ、デコーダ(たとえば、行デコーダおよび列デコーダ)、センスアンプ、ドライバー(たとえば、ワードラインドライバ)、チャージポンプ、電流もしくは電圧リファレンス、または、回路の任意のアクティブもしくはパッシブコンポーネント(たとえば、トランジスタ、ダイオード、抵抗器、またはキャパシタなど)を含む。
トランジスタ722は、基板712の「上に」形成され得、トランジスタ722の全体または一部は、基板712の中に(たとえば、基板712の上部表面の下方に)および/または基板712の直ぐ上に形成されている。アイソレーション領域(たとえば、シャロートレンチアイソレーション(STI))およびドープ領域(たとえば、トランジスタ722のソース領域およびドレイン領域)が、同様に基板712の中に形成され得る。いくつかの実施形態によれば、トランジスタ722は、先進的なロジックプロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどのテクノロジーノード)によって高速である。
いくつかの実施形態において、半導体デバイス700の第3の半導体構造体706は、デバイス層714の上方に相互接続層724をさらに含み、プログラマブルロジックデバイス716(および、存在する場合には周辺回路720)へおよびそれから、電気信号を転送する。相互接続層724は、複数の相互接続部(本明細書では「接触部」とも称される)を含むことが可能であり、それは、横方向の相互接続ラインおよび垂直方向の相互接続アクセス(ビア)接触部を含む。本明細書で使用されているように、「相互接続部」という用語は、ミドルエンドオブライン(MEOL)相互接続部およびバックエンドオブライン(BEOL)相互接続部などのような、任意の適切なタイプの相互接続部を広く含むことが可能である。相互接続層724は、1つまたは複数の層間誘電体(ILD)層(「金属間誘電体(IMD)層」としても知られる)をさらに含むことが可能であり、相互接続ラインおよびビア接触部を、その中に形成することが可能である。すなわち、相互接続層724は、複数のILD層の中に相互接続ラインおよびビア接触部を含むことが可能である。相互接続層724の中の相互接続ラインおよびビア接触部は、導電性材料を含むことが可能であり、それは、それに限定されないが、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、シリサイド、または、それらの任意の組合せを含む。相互接続層724の中のILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低誘電率(低k)誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。いくつかの実施形態において、デバイス層714の中のデバイスは、相互接続層724の中の相互接続部を通して互いに電気的に接続されている。たとえば、周辺回路720は、相互接続層724を通してプログラマブルロジックデバイス716に電気的に接続され得る。
図7Aに示されているように、半導体デバイス700の第3の半導体構造体706は、第1および第2のボンディングインターフェース708および710において、ならびに、相互接続層724およびデバイス層714の上方に、ボンディング層726をさらに含むことが可能である(プログラマブルロジックデバイス716を含む)。ボンディング層726は、複数のボンディング接触部728と、ボンディング接触部728を電気的に隔離する誘電体とを含むことが可能である。ボンディング接触部728は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。ボンディング層726の残りのエリアは、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電体によって形成され得る。ボンディング接触部728およびボンディング層726の中の周囲の誘電体は、ハイブリッドボンディングのために使用され得る。
同様に、図7Aに示されているように、また、半導体デバイス700の第1の半導体構造体702は、第1のボンディングインターフェース708において、および、第3の半導体構造体706のボンディング層726の上方に、ボンディング層730を含むことが可能である。ボンディング層730は、複数のボンディング接触部732と、ボンディング接触部732を電気的に隔離する誘電体とを含むことが可能である。ボンディング接触部732は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。ボンディング層730の残りのエリアは、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電体によって形成され得る。ボンディング接触部732およびボンディング層730の中の周囲の誘電体は、ハイブリッドボンディングのために使用され得る。いくつかの実施形態によれば、ボンディング接触部732は、第1のボンディングインターフェース708において、いくつかのボンディング接触部728(たとえば、第1の半導体構造体702の直ぐ下方の第1のセットのボンディング接触部728)と接触している。
上記に説明されているように、第1の半導体構造体702は、第1のボンディングインターフェース708において、第3の半導体構造体706の上に向かい合った様式で結合され得る。いくつかの実施形態において、第1のボンディングインターフェース708は、ハイブリッドボンディング(「金属/誘電体ハイブリッドボンディング」としても知られる)の結果として、ボンディング層730とボンディング層726との間に配設されており、ハイブリッドボンディングは、直接的なボンディング技術(たとえば、中間層(たとえば、はんだまたは接着剤など)を使用することなく表面同士の間にボンディングを形成する)であり、金属-金属ボンディングおよび誘電体-誘電体ボンディングを同時に取得することが可能である。いくつかの実施形態において、第1のボンディングインターフェース708は、ボンディング層730および726が出会って結合される場所にある。実際には、第1のボンディングインターフェース708は、第3の半導体構造体706のボンディング層726の上部表面および第1の半導体構造体702のボンディング層730の底部表面の一部を含む、特定の厚さを有する層であることが可能である。
いくつかの実施形態において、半導体デバイス700の第1の半導体構造体702は、ボンディング層730の上方に相互接続層734をさらに含み、電気信号を転送する。相互接続層734は、複数の相互接続部(たとえば、MEOL相互接続部およびBEOL相互接続部など)を含むことが可能である。いくつかの実施形態において、相互接続層734の中の相互接続部は、また、局所的相互接続部(たとえば、ビットライン接触部およびワードライン接触部など)を含む。相互接続層734は、1つまたは複数のILD層をさらに含むことが可能であり、相互接続ラインおよびビア接触部を、1つまたは複数のILD層の中に形成することが可能である。相互接続層734の中の相互接続ラインおよびビア接触部は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。相互接続層734の中のILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。
いくつかの実施形態において、半導体デバイス700の第1の半導体構造体702は、NANDフラッシュメモリデバイスを含み、そこでは、メモリセルが、相互接続層734およびボンディング層730の上方に、3D NANDメモリストリング736のアレイの形態で提供されている。いくつかの実施形態によれば、それぞれの3D NANDメモリストリング736は、導体層および誘電体層をそれぞれ含む複数のペアを通って垂直方向に延在している。スタックされたおよびインターリーブされた導体層および誘電体層は、本明細書でメモリスタック738とも称される。いくつかの実施形態によれば、メモリスタック738の中のインターリーブされた導体層および誘電体層は、垂直方向に交互になっている。換言すれば、メモリスタック738の上部または底部にあるものを除いて、それぞれの導体層は、両側において2つの誘電体層によって隣接され得、それぞれの誘電体層は、両側において2つの導体層によって隣接され得る。導体層は、同じ厚さまたは異なる厚さをそれぞれ有することが可能である。同様に、誘電体層は、同じ厚さまたは異なる厚さをそれぞれ有することが可能である。導体層は、それに限定されないが、W、Co、Cu、Al、ドープトシリコン、シリサイド、または、それらの任意の組合せを含む、導体材料を含むことが可能である。誘電体層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。
いくつかの実施形態において、それぞれの3D NANDメモリストリング736は、半導体チャネルおよびメモリフィルムを含む「チャージトラップ」タイプのNANDメモリストリングである。いくつかの実施形態において、半導体チャネルは、シリコン、たとえば、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどを含む。いくつかの実施形態において、メモリフィルムは、トンネリング層、ストレージ層(「チャージトラップ/ストレージ層」としても知られる)、およびブロッキング層を含む、複合誘電体層である。それぞれの3D NANDメモリストリング736は、シリンダー形状(たとえば、ピラー形状)を有することが可能である。いくつかの実施形態によれば、半導体チャネル、メモリフィルムのトンネリング層、ストレージ層、およびブロッキング層は、中心からピラーの外側表面に向かう方向に沿って、この順序で配置されている。トンネリング層は、酸化ケイ素、酸窒化ケイ素、または、それらの任意の組合せを含むことが可能である。ストレージ層は、窒化ケイ素、酸窒化ケイ素、シリコン、または、それらの任意の組合せを含むことが可能である。ブロッキング層は、酸化ケイ素、酸窒化ケイ素、高誘電率(高k)誘電体、または、それらの任意の組合せを含むことが可能である。1つの例において、ブロッキング層は、酸化ケイ素/酸窒化ケイ素/酸化ケイ素(ONO)の複合層を含むことが可能である。別の例において、ブロッキング層は、酸化アルミニウム(Al)、酸化ハフニウム(HfO)、または酸化タンタル(Ta)層などのような、高k誘電体層を含むことが可能である。
いくつかの実施形態において、3D NANDメモリストリング736は、複数の制御ゲート(それぞれがワードラインの一部である)をさらに含む。メモリスタック738の中のそれぞれの導体層は、3D NANDメモリストリング736のそれぞれのメモリセルのための制御ゲートとして作用することが可能である。いくつかの実施形態において、それぞれの3D NANDメモリストリング736は、垂直方向におけるそれぞれの端部において、2つのプラグ774および740を含む。プラグ774は、半導体材料(たとえば、単結晶シリコンなど)を含むことが可能であり、それは、半導体層742からエピタキシャル成長させられる。プラグ774は、3D NANDメモリストリング736のソース選択ゲートのコントローラとして機能することが可能である。プラグ774は、3D NANDメモリストリング736の上側端部にあり、半導体層742と接触していることが可能である。本明細書で使用されているように、基板712が半導体デバイス700の最も低い平面に位置決めされているときに、コンポーネント(たとえば、3D NANDメモリストリング736)の「上側端部」は、y方向に基板712から遠くに離れている方の端部であり、コンポーネント(たとえば、3D NANDメモリストリング736)の「下側端部」は、y方向に基板712に近い方の端部である。別のプラグ740は、半導体材料(たとえば、ポリシリコン)を含むことが可能である。第1の半導体構造体702の製作の間に3D NANDメモリストリング736の上側端部をカバーすることによって、プラグ740は、エッチング停止層として機能し、3D NANDメモリストリング736の中に充填されている誘電体(たとえば、酸化ケイ素および窒化ケイ素など)のエッチングを防止することが可能である。いくつかの実施形態において、プラグ740は、3D NANDメモリストリング736のドレインとして機能する。
いくつかの実施形態において、第1の半導体構造体702は、メモリスタック738および3D NANDメモリストリング736の上方に配設されている半導体層742をさらに含む。半導体層742は、薄くされた基板であることが可能であり、メモリスタック738および3D NANDメモリストリング736が、その上に形成されている。いくつかの実施形態において、半導体層742は、単結晶シリコンを含み、プラグ774は、単結晶シリコンからエピタキシャル成長させられ得る。いくつかの実施形態において、半導体層742は、ポリシリコン、アモルファスシリコン、SiGe、GaAs、Ge、または任意の他の適切な材料を含むことが可能である。また、半導体層742は、アイソレーション領域およびドープ領域(たとえば、3D NANDメモリストリング736のためのアレイコモンソース(ACS)として機能する、図示せず)を含むことが可能である。アイソレーション領域(図示せず)は、半導体層742の厚さ全体または厚さの一部を横切って延在し、ドープ領域を電気的に隔離することが可能である。いくつかの実施形態において、酸化ケイ素を含むパッド酸化物層が、メモリスタック738と半導体層742との間に配設されている。
3D NANDメモリストリング736は、「チャージトラップ」タイプの3D NANDメモリストリングに限定されず、他の実施形態では、「フローティングゲート」タイプの3D NANDメモリストリングであることが可能であるということが理解される。また、メモリスタック738は、シングルデッキ構造体を有することに限定されるのではなく、3D NANDメモリストリング736の電気的接続のための異なるデッキの間にデッキ間プラグを備えた複数デッキ構造体を有することも可能であるということが理解される。半導体層742は、「フローティングゲート」タイプの3D NANDメモリストリングのソースプレートとして、ポリシリコンを含むことが可能である。
図7Aに示されているように、半導体デバイス700の第1の半導体構造体702は、半導体層742の上方にパッドアウト相互接続層744をさらに含むことが可能である。パッドアウト相互接続層744は、1つまたは複数のILD層の中に、相互接続部、たとえば、接触パッド746を含むことが可能である。パッドアウト相互接続層744および相互接続層734は、半導体層742の反対側に形成され得る。いくつかの実施形態において、パッドアウト相互接続層744の中の相互接続部は、たとえば、パッドアウト目的のために、半導体デバイス700と外側回路との間で電気信号を転送することが可能である。
いくつかの実施形態において、第1の半導体構造体702は、1つまたは複数の接触部748をさらに含み、1つまたは複数の接触部748は、半導体層742を通って延在し、パッドアウト相互接続層744と相互接続層734および724とを電気的に接続している。結果として、プログラマブルロジックデバイス716(および、存在する場合には、周辺回路720)は、相互接続層734および724ならびにボンディング接触部732および728を通して、3D NANDメモリストリング736のアレイに電気的に接続され得る。そのうえ、プログラマブルロジックデバイス716および3D NANDメモリストリング736のアレイは、接触部748およびパッドアウト相互接続層744を通して、外側回路に電気的に接続され得る。
図7Aに図示されているように、半導体デバイス700の第2の半導体構造体704は、また、第2のボンディングインターフェース710において、および、第3の半導体構造体706のボンディング層726の上方に、ボンディング層750を含むことが可能である。ボンディング層750は、複数のボンディング接触部752と、ボンディング接触部752を電気的に隔離する誘電体とを含むことが可能である。ボンディング接触部752は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。ボンディング層750の残りのエリアは、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電体によって形成され得る。ボンディング接触部752およびボンディング層750の中の周囲の誘電体は、ハイブリッドボンディングのために使用され得る。いくつかの実施形態によれば、ボンディング接触部752は、第2のボンディングインターフェース710において、いくつかのボンディング接触部728(たとえば、第2の半導体構造体704の直ぐ下方の第2のセットのボンディング接触部728)と接触している。
上記に説明されているように、同様に第1のボンディングインターフェース708において第3の半導体構造体706の上に向かい合った様式で結合された第1の半導体構造体702の隣で、第2の半導体構造体704は、第2のボンディングインターフェース710において、第3の半導体構造体706の上に向かい合った様式で結合され得る。結果として、第1および第2のボンディングインターフェース708および710は、たとえば、両方とも第3の半導体構造体706の上部表面において、同じ平面にあることが可能である。換言すれば、いくつかの実施形態によれば、第1のボンディングインターフェース708は、第2のボンディングインターフェース710と同一平面上にある。いくつかの実施形態において、第2のボンディングインターフェース710は、同様にハイブリッドボンディングの結果として、ボンディング層750とボンディング層726との間に配設されている。いくつかの実施形態において、第2のボンディングインターフェース710は、ボンディング層750および726が出会って結合される場所にある。実際には、第2のボンディングインターフェース710は、第3の半導体構造体706のボンディング層726の上部表面および第2の半導体構造体704のボンディング層750の底部表面の一部を含む、特定の厚さを有する層であることが可能である。
いくつかの実施形態において、半導体デバイス700の第2の半導体構造体704は、ボンディング層750の上方に相互接続層754をさらに含み、電気信号を転送する。相互接続層754は、複数の相互接続部(たとえば、MEOL相互接続部およびBEOL相互接続部など)を含むことが可能である。いくつかの実施形態において、相互接続層754の中の相互接続部は、また、局所的相互接続部(たとえば、ビットライン接触部およびワードライン接触部など)を含む。相互接続層754は、1つまたは複数のILD層をさらに含むことが可能であり、相互接続ラインおよびビア接触部を、1つまたは複数のILD層の中に形成することが可能である。相互接続層754の中の相互接続ラインおよびビア接触部は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。相互接続層754の中のILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。
半導体デバイス700の第2の半導体構造体704は、相互接続層754およびボンディング層750の上方に、DRAMセル756のアレイをさらに含むことが可能である。いくつかの実施形態において、それぞれのDRAMセル756は、DRAM選択トランジスタ758およびキャパシタ760を含む。DRAMセル756は、1つのトランジスタおよび1つのキャパシタから構成される1T1Cセルであることが可能である。DRAMセル756は、たとえば、2T1Cセル、3T1Cセルなど、任意の適切な構成のものであることが可能であるということが理解される。いくつかの実施形態において、DRAM選択トランジスタ758は、半導体層762の「上に」形成されており、DRAM選択トランジスタ758の全体または一部は、半導体層762の中に(たとえば、半導体層762の上部表面の下方に)および/または半導体層762の直ぐ上に形成されている。アイソレーション領域(たとえば、STI)およびドープ領域(たとえば、DRAM選択トランジスタ758のソース領域およびドレイン領域)は、同様に半導体層762の中に形成され得る。いくつかの実施形態において、キャパシタ760は、DRAM選択トランジスタ758の下方に配設されている。いくつかの実施形態によれば、それぞれのキャパシタ760は、2つの電極を含み、そのうちの一方は、それぞれのDRAM選択トランジスタ758の1つのノードに電気的に接続されている。いくつかの実施形態によれば、それぞれのDRAM選択トランジスタ758の別のノードは、DRAMのビットライン764に電気的に接続されている。それぞれのキャパシタ760の別の電極は、共通のプレート766(たとえば、共通のグランド)に電気的に接続され得る。DRAMセル756の構造および構成は、図7Aの例に限定されず、任意の適切な構造および構成を含むことが可能であるということが理解される。たとえば、キャパシタ760は、平面的なキャパシタ、スタックキャパシタ、マルチフィンキャパシタ、シリンダーキャパシタ、トレンチキャパシタ、または基板プレートキャパシタであることが可能である。
いくつかの実施形態において、第2の半導体構造体704は、半導体層762をさらに含み、半導体層762は、DRAMセル756のアレイの上方にDRAMセル756のアレイに接触して配設されている。半導体層762は、薄くされた基板であることが可能であり、DRAM選択トランジスタ758が、その上に形成されている。いくつかの実施形態において、半導体層762は、単結晶シリコンを含む。いくつかの実施形態において、半導体層762は、ポリシリコン、アモルファスシリコン、SiGe、GaAs、Ge、シリサイド、または任意の他の適切な材料を含むことが可能である。また、半導体層762は、アイソレーション領域およびドープ領域(たとえば、DRAM選択トランジスタ758のソースおよびドレインとして)を含むことが可能である。
図7Aに示されているように、半導体デバイス700の第2の半導体構造体704は、半導体層762の上方にパッドアウト相互接続層768をさらに含むことが可能である。パッドアウト相互接続層768は、1つまたは複数のILD層の中に、相互接続部、たとえば、接触パッド770を含むことが可能である。パッドアウト相互接続層768および相互接続層754は、半導体層762の反対側に形成され得る。いくつかの実施形態において、パッドアウト相互接続層768の中の相互接続部は、たとえば、パッドアウト目的のために、半導体デバイス700と外側回路との間で電気信号を転送することが可能である。
いくつかの実施形態において、第2の半導体構造体704は、1つまたは複数の接触部772をさらに含み、1つまたは複数の接触部772は、半導体層762を通って延在し、パッドアウト相互接続層768と相互接続層754および724とを電気的に接続している。結果として、プログラマブルロジックデバイス716(および、存在する場合には、周辺回路720)は、相互接続層754および724ならびにボンディング接触部752および728を通して、DRAMセル756のアレイに電気的に接続され得る。また、第1の半導体構造体702の中の3D NANDメモリストリング736のアレイは、相互接続層734、724、および754ならびにボンディング接触部732、728、および752を通して、第2の半導体構造体704の中のDRAMセル756のアレイに電気的に接続され得る。そのうえ、プログラマブルロジックデバイス716およびDRAMセル756のアレイは、接触部772およびパッドアウト相互接続層768を通して、外側回路に電気的に接続され得る。上記に説明されているように、いくつかの実施形態によれば、プロセッサをプログラマブルロジックデバイス716と交換することによって、第1の、第2の、および第3の半導体構造体702、704、または706のそれぞれは、SRAMキャッシュを含まない。
図7Bは、いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する別の例示的な半導体デバイス701の断面を図示している。図4Bを参照して上記に説明されている半導体デバイス401の1つの例として、半導体デバイス701は、第1の半導体構造体703および第2の半導体構造体705の上方にスタックされた第3の半導体構造体707を含む結合されたチップである。図7Aにおいて上記に説明されている半導体デバイス700と同様に、半導体デバイス701は、結合されたチップの例を表しており、そこでは、プログラマブルロジックデバイスを含む第3の半導体構造体707、NANDメモリを含む第1の半導体構造体703、および、DRAMを含む第2の半導体構造体705が、別個に形成され、それぞれ、第1のボンディングインターフェース709および第2のボンディングインターフェース711において、向かい合った様式で結合されている。プログラマブルロジックデバイスを含む第3の半導体構造体706が、NANDメモリを含む第1の半導体構造体702およびDRAMを含む第2の半導体構造体704の下方にある、図7Aにおいて上記に説明されている半導体デバイス700とは異なり、図7Bの半導体デバイス701は、第1の半導体構造体703および第2の半導体構造体705の上方に配設されている第3の半導体構造体707を含む。半導体デバイス700および701の両方の中の同様の構造体の詳細(たとえば、材料、製作プロセス、機能など)は、下記に繰り返されていない可能性があるということが理解される。
半導体デバイス701の第1の半導体構造体703は、基板713およびメモリスタック715を含むことが可能であり、メモリスタック715は、基板713の上方にインターリーブされた導体層および誘電体層を含む。いくつかの実施形態において、3D NANDメモリストリング717のアレイは、基板713の上方のメモリスタック715の中のインターリーブされた導体層および誘電体層を通って垂直方向にそれぞれ延在している。それぞれの3D NANDメモリストリング717は、半導体チャネルおよびメモリフィルムを含むことが可能である。それぞれの3D NANDメモリストリング717は、それぞれ、その下側端部および上側端部において、2つのプラグ719および721をさらに含む。3D NANDメモリストリング717は、「チャージトラップ」タイプの3D NANDメモリストリング、または、「フローティングゲート」タイプの3D NANDメモリストリングであることが可能である。いくつかの実施形態において、酸化ケイ素を含むパッド酸化物層が、メモリスタック715と基板713との間に配設されている。
いくつかの実施形態において、半導体デバイス701の第1の半導体構造体703は、また、メモリスタック715および3D NANDメモリストリング717の上方に相互接続層723を含み、3D NANDメモリストリング717へおよびそれから、電気信号を転送する。相互接続層723は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビア接触部を含む。いくつかの実施形態において、相互接続層723の中の相互接続部は、また、ビットライン接触部およびワードライン接触部などのような、局所的相互接続部を含む。いくつかの実施形態において、半導体デバイス701の第1の半導体構造体703は、第1のボンディングインターフェース709において、ならびに、相互接続層723およびメモリスタック715(それを通る3D NANDメモリストリング717を含む)の上方に、ボンディング層725をさらに含む。ボンディング層725は、複数のボンディング接触部727と、ボンディング接触部727を取り囲んで電気的に隔離する誘電体とを含むことが可能である。
半導体デバイス701の第2の半導体構造体705は、基板729と、基板729の上のDRAMセル731のアレイとを含むことが可能である。基板713および基板729は、図7Bにおいて2つの別個の基板として示されているが、いくつかの実施形態において、基板713および729は、単一の連続的な基板であることが可能であるということが理解される。いくつかの実施形態において、別の単一の連続的な基板(たとえば、図示せず)が、基板713および基板729の下方に形成され、および基板713および729に結合され、半導体デバイス701に対するさらなるサポートを提供することが可能であるということがさらに理解される。
いくつかの実施形態において、それぞれのDRAMセル731は、DRAM選択トランジスタ733およびキャパシタ735を含む。DRAMセル731は、1つのトランジスタおよび1つのキャパシタから構成される1T1Cセルであることが可能である。DRAMセル731は、たとえば、2T1Cセル、3T1Cセルなど、任意の適切な構成のものであることが可能であるということが理解される。いくつかの実施形態において、DRAM選択トランジスタ733は、基板729の「上に」形成されており、DRAM選択トランジスタ733の全体または一部は、基板729の中におよび/または基板729の直ぐ上に形成されている。いくつかの実施形態において、キャパシタ735は、DRAM選択トランジスタ733の上方に配設されている。いくつかの実施形態によれば、それぞれのキャパシタ735は、2つの電極を含み、そのうちの一方は、それぞれのDRAM選択トランジスタ733の1つのノードに電気的に接続されている。いくつかの実施形態によれば、それぞれのDRAM選択トランジスタ733の別のノードは、DRAMのビットライン737に電気的に接続されている。それぞれのキャパシタ735の別の電極は、共通のプレート739(たとえば、共通のグランド)に電気的に接続され得る。DRAMセル731の構造および構成は、図7Bの例に限定されず、任意の適切な構造および構成を含むことが可能であるということが理解される。
いくつかの実施形態において、半導体デバイス701の第2の半導体構造体705は、また、DRAMセル731のアレイの上方に相互接続層741を含み、DRAMセル731のアレイへおよびそれから、電気信号を転送する。相互接続層741は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビア接触部を含む。いくつかの実施形態において、相互接続層741の中の相互接続部は、また、ビットライン接触部およびワードライン接触部などのような、局所的相互接続部を含む。いくつかの実施形態において、半導体デバイス701の第2の半導体構造体705は、第2のボンディングインターフェース711において、ならびに、相互接続層741およびDRAMセル731のアレイの上方に、ボンディング層743をさらに含む。ボンディング層743は、複数のボンディング接触部745と、ボンディング接触部745を取り囲んで電気的に隔離する誘電体とを含むことが可能である。
図7Bに示されているように、半導体デバイス701の第3の半導体構造体707は、第1のボンディングインターフェース709および第2のボンディングインターフェース711において、ならびに、第1の半導体構造体703のボンディング層725、および、第2の半導体構造体705のボンディング層743の上方に、別のボンディング層747を含む。ボンディング層747は、複数のボンディング接触部749と、ボンディング接触部749を取り囲んで電気的に隔離する誘電体とを含むことが可能である。いくつかの実施形態によれば、いくつかのボンディング接触部749(たとえば、第1の半導体構造体703の直ぐ上方の第1のセットのボンディング接触部749)は、第1のボンディングインターフェース709において、ボンディング接触部727と接触している。いくつかの実施形態によれば、いくつかのボンディング接触部749(たとえば、第2の半導体構造体705の直ぐ上方の第2のセットのボンディング接触部749)は、第2のボンディングインターフェース711において、ボンディング接触部745と接触している。第1および第2のボンディングインターフェース709および711は、同じ平面、たとえば、両方とも第3の半導体構造体707の底部表面において、同じ平面にあることが可能である。換言すれば、いくつかの実施形態によれば、第1のボンディングインターフェース709は、第2のボンディングインターフェース711と同一平面上にある。いくつかの実施形態において、半導体デバイス701の第3の半導体構造体707は、また、ボンディング層747の上方に相互接続層751を含み、電気信号を転送する。相互接続層751は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビア接触部を含む。
半導体デバイス701の第3の半導体構造体707は、相互接続層751およびボンディング層747の上方にデバイス層753をさらに含むことが可能である。いくつかの実施形態において、デバイス層753は、相互接続層751およびボンディング層747の上方にプログラマブルロジックデバイス755を含む。いくつかの実施形態において、デバイス層753は、相互接続層751およびボンディング層747の上方に、ならびに、プログラマブルロジックデバイス755の外側に、周辺回路759をさらに含む。たとえば、周辺回路759は、3D NANDメモリストリング717のアレイおよび/またはDRAMセル731のアレイを制御およびセンシングするための周辺回路の一部または全体であることが可能である。いくつかの実施形態において、デバイス層753の中のデバイスは、相互接続層751の中の相互接続部を通して、互いに電気的に接続されている。たとえば、周辺回路759は、相互接続層751を通してプログラマブルロジックデバイス755に電気的に接続され得る。
いくつかの実施形態において、プログラマブルロジックデバイス755は、上記に詳細に説明されているように、プログラマブルロジックブロック(いくつかのケースでは、任意のI/Oブロック)のアレイを形成する複数のトランジスタ761を含む。トランジスタ761は、半導体層763の「上に」形成され得、トランジスタ761の全体または一部が、半導体層763の中に、および/または、半導体層763の直ぐ上に形成されている。アイソレーション領域(たとえば、STI)およびドープ領域(たとえば、トランジスタ761のソース領域およびドレイン領域)は、同様に半導体層763の中に形成され得る。トランジスタ761は、同様に周辺回路759を形成することが可能である。いくつかの実施形態によれば、トランジスタ761は、先進的なロジックプロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどのテクノロジーノード)によって高速である。
いくつかの実施形態において、第3の半導体構造体707は、デバイス層753の上方に配設されている半導体層763をさらに含む。半導体層763は、プログラマブルロジックデバイス755の上方にあり、プログラマブルロジックデバイス755と接触していることが可能である。半導体層763は、薄くされた基板であることが可能であり、トランジスタ761が、その上に形成されている。いくつかの実施形態において、半導体層763は、単結晶シリコンを含む。いくつかの実施形態において、半導体層763は、ポリシリコン、アモルファスシリコン、SiGe、GaAs、Ge、または任意の他の適切な材料を含むことが可能である。また、半導体層763は、アイソレーション領域およびドープ領域を含むことが可能である。
図7Bに示されているように、半導体デバイス701の第3の半導体構造体707は、半導体層763の上方にパッドアウト相互接続層765をさらに含むことが可能である。パッドアウト相互接続層765は、1つまたは複数のILD層の中に、相互接続部、たとえば、接触パッド767を含むことが可能である。いくつかの実施形態において、パッドアウト相互接続層765の中の相互接続部は、たとえば、パッドアウト目的のために、半導体デバイス701と外側回路との間で電気信号を転送することが可能である。いくつかの実施形態において、第3の半導体構造体707は、1つまたは複数の接触部769をさらに含み、1つまたは複数の接触部769は、半導体層763を通って延在し、パッドアウト相互接続層765と相互接続層751、723、および741とを電気的に接続している。結果として、プログラマブルロジックデバイス755(および、存在する場合には、周辺回路759)は、相互接続層751および723ならびにボンディング接触部749および727を通して、3D NANDメモリストリング717のアレイに電気的に接続され得、プログラマブルロジックデバイス755(および、存在する場合には、周辺回路759)、また、相互接続層751および741ならびにボンディング接触部749および745を通して、DRAMセル731のアレイに電気的に接続され得る。また、第1の半導体構造体703の中の3D NANDメモリストリング717のアレイは、相互接続層723、751、および741ならびにボンディング接触部727、749、および745を通して、第2の半導体構造体705の中のDRAMセル731のアレイに電気的に接続されている。そのうえ、プログラマブルロジックデバイス755、3D NANDメモリストリング717のアレイ、および、DRAMセル731のアレイは、接触部769およびパッドアウト相互接続層765を通して、外側回路に電気的に接続され得る。上記に説明されているように、いくつかの実施形態によれば、プロセッサをプログラマブルロジックデバイス755と交換することによって、第1の、第2の、および第3の半導体構造体703、705、または707のそれぞれは、SRAMキャッシュを含まない。
図8Aおよび図8Bは、いくつかの実施形態による、プログラマブルロジックデバイスおよび周辺回路を有する例示的な半導体構造体を形成するための製作プロセスを図示している。図9Aおよび図9Bは、いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造体を形成するための製作プロセスを図示している。図10A~図10Cは、いくつかの実施形態による、DRAMセルを有する例示的な半導体構造体を形成するための製作プロセスを図示している。図11Aおよび図11Bは、いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する例示的な半導体デバイスを形成するための製作プロセスを図示している。図12A~図12Cは、いくつかの実施形態による、例示的な半導体構造体をダイシングおよび結合するための製作プロセスを図示している。図13A~図13Dは、いくつかの実施形態による、例示的な半導体構造体を結合およびダイシングするための製作プロセスを図示している。図16Aおよび図16Bは、いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する半導体デバイスを形成するための例示的な方法1600のフローチャートを図示している。図17Aおよび図17Bは、いくつかの実施形態による、プログラマブルロジックデバイスおよび異種メモリを有する半導体デバイスを形成するための別の例示的な方法1700のフローチャートを図示している。図8A、図8B、図9A、図9B、図10A~図10C、図11A、図11B、図12A~図12C、図13A~図13D、図16A、図16B、図17A、および図17Bに示されている半導体デバイスの例は、図7Aおよび図7Bに示されている半導体デバイス700および701を含む。図8A、図8B、図9A、図9B、図10A~図10C、図11A、図11B、図12A~図12C、図13A~図13D、図16A、図16B、図17A、および図17Bは、一緒に説明されることとなる。方法1600および1700に示されている動作は、網羅的でないということ、ならびに、他の動作は、図示されている動作のいずれかの前に、後に、またはそれらの間に同様に実施され得るということが理解される。さらに、動作のうちのいくつかは、同時に、または、図16A、図16B、図17A、および図17Bに示されているものとは異なる順序で実施され得る。
図9Aおよび図9Bに示されているように、3D NANDメモリストリングのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む第1の半導体構造体が形成されている。図10A~図10Cに示されているように、DRAMセルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む第2の半導体構造体が形成されている。図8Aおよび図8Bに示されているように、プログラマブルロジックデバイスと、周辺回路と、複数の第3のボンディング接触部を含む第3のボンディング層とを含む第3の半導体構造体が形成されている。図11Aおよび図11Bに示されているように、第3の半導体構造体ならびに第1および第2の半導体構造体のそれぞれは、向かい合った様式で結合されており、第1のボンディング接触部が、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触しているようになっており、また、第2のボンディング接触部が、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触しているようになっている。
図16Aを参照すると、方法1600は、動作1602において開始し、動作1602では、複数の第1の半導体構造体が、第1のウエハの上に形成される。第1の半導体構造体のうちの少なくとも1つは、NANDメモリセルのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む。第1のウエハは、シリコンウエハであることが可能である。いくつかの実施形態において、複数の第1の半導体構造体を形成するために、NANDメモリセルのアレイが、第1のウエハの上に形成される。NANDメモリセルのアレイは、3D NANDメモリストリングのアレイであることが可能である。いくつかの実施形態において、複数の第1の半導体構造体を形成するために、NANDメモリセルのアレイの周辺回路が、また、第1のウエハの上に形成される。
図12Aに図示されているように、複数の第1の半導体構造体1204は、第1のウエハ1202の上に形成されている。第1のウエハ1202は、スクライブラインによって分離されている複数のショットを含むことが可能である。いくつかの実施形態によれば、第1のウエハ1202のそれぞれのショットは、1つまたは複数の第1の半導体構造体1204を含む。図9Aおよび図9Bは、第1の半導体構造体1204のフォーメーションの1つの例を図示している。
いくつかの実施形態において、複数の第1の半導体構造体を形成するために、メモリスタックが、第1のウエハの上に形成され、メモリスタックを通って垂直方向に延在する3D NANDメモリストリングのアレイが形成される。図9Aに図示されているように、インターリーブされた犠牲層(図示せず)および誘電体層908が、(第1のウエハ1202(たとえば、シリコンウエハ)の一部として)シリコン基板902の上方に形成されている。インターリーブされた犠牲層および誘電体層908は、誘電体スタック(図示せず)を形成することが可能である。いくつかの実施形態において、それぞれの犠牲層は、窒化ケイ素の層を含み、それぞれの誘電体層908は、酸化ケイ素の層を含む。インターリーブされた犠牲層および誘電体層908は、1つまたは複数の薄膜堆積プロセスによって形成され得、薄膜堆積プロセスは、それに限定されないが、化学蒸着(CVD)、物理蒸着(PVD)、原子層堆積(ALD)、または、それらの任意の組合せを含む。いくつかの実施形態において、メモリスタック904は、ゲート交換プロセスによって形成され得、たとえば、誘電体層908に対して選択的な犠牲層のウェット/ドライエッチングを使用して、犠牲層を導体層906と交換し、結果として生じる凹部を導体層906で充填する。結果として、メモリスタック904は、インターリーブされた導体層906および誘電体層908を含むことが可能である。いくつかの実施形態において、それぞれの導体層906は、金属層(たとえば、タングステンの層など)を含むことが可能である。他の実施形態では、メモリスタック904は、ゲート交換プロセスなしで、導体層(たとえば、ドープされたポリシリコン層)および誘電体層(たとえば、酸化ケイ素層)を交互に堆積させることによって形成され得るということが理解される。いくつかの実施形態において、酸化ケイ素を含むパッド酸化物層が、メモリスタック904とシリコン基板902との間に形成されている。
図9Aに図示されているように、3D NANDメモリストリング910は、シリコン基板902の上方に形成されており、そのそれぞれは、メモリスタック904のインターリーブされた導体層906および誘電体層908を通って、垂直方向に延在している。いくつかの実施形態において、3D NANDメモリストリング910を形成するための製作プロセスは、ドライエッチングおよび/またはウェットエッチング(たとえば、ディープ反応性イオンエッチング(DRIE)など)を使用して、メモリスタック904を通してシリコン基板902の中へチャネル孔部を形成することを含み、シリコン基板902からチャネル孔部の下側部分の中にプラグ912をエピタキシャル成長させることがそれに続く。いくつかの実施形態において、3D NANDメモリストリング910を形成させるための製作プロセスは、また、その後に、薄膜堆積プロセス(たとえば、ALD、CVD、PVD、または、それらの任意の組合せなど)を使用して、メモリフィルム914(たとえば、トンネリング層、ストレージ層、およびブロッキング層)および半導体層916などのような、複数の層によってチャネル孔部を充填することを含む。いくつかの実施形態において、3D NANDメモリストリング910を形成するための製作プロセスは、3D NANDメモリストリング910の上側端部において凹部をエッチングすることによって、その後に、薄膜堆積プロセス(たとえば、ALD、CVD、PVD、または、それらの任意の組合せなど)を使用して、半導体材料によって凹部を充填することによって、チャネル孔部の上側部分の中に別のプラグ918を形成することをさらに含む。
方法1600は、図16Aに図示されているように、動作1604に進み、動作1604では、第1の相互接続層が、NANDメモリセルのアレイの上方に形成される。第1の相互接続層は、1つまたは複数のILD層の中に第1の複数の相互接続部を含むことが可能である。図9Bに図示されているように、相互接続層920は、メモリスタック904および3D NANDメモリストリング910のアレイの上方に形成され得る。相互接続層920は、複数のILD層の中にMEOLおよび/またはBEOLの相互接続部を含み、3D NANDメモリストリング910のアレイと電気的接続を行うことが可能である。いくつかの実施形態において、相互接続層920は、複数のILD層と、複数のプロセスにおいて形成されたその中の相互接続部とを含む。たとえば、相互接続層920の中の相互接続部は、1つまたは複数の薄膜堆積プロセスによって堆積された導電性材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、電気めっき、無電解めっき、または、それらの任意の組合せを含む。相互接続部を形成するための製作プロセスは、また、フォトリソグラフィー、化学機械研磨(CMP)、ウェット/ドライエッチング、または任意の他の適切なプロセスを含むことが可能である。ILD層は、1つまたは複数の薄膜堆積プロセスによって堆積された誘電材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。図9Bに図示されているILD層および相互接続部は、集合的に相互接続層920と称され得る。
方法1600は、図16Aに図示されているように、動作1606に進み、動作1606では、第1のボンディング層が、第1の相互接続層の上方に形成される。第1のボンディング層は、複数の第1のボンディング接触部を含むことが可能である。図9Bに図示されているように、ボンディング層922は、相互接続層920の上方に形成されている。ボンディング層922は、誘電体によって取り囲まれている複数のボンディング接触部924を含むことが可能である。いくつかの実施形態において、誘電体層は、1つまたは複数の薄膜堆積プロセスによって、相互接続層920の上部表面の上に堆積されており、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。次いで、パターニングプロセス(たとえば、誘電体層の中の誘電材料のフォトリソグラフィーおよびドライ/ウェットエッチング)を使用して、誘電体層を通る接触孔部を最初にパターニングすることによって、ボンディング接触部924が、誘電体層を通して、相互接続層920の中の相互接続部と接触して形成され得る。接触孔部は、導体(たとえば、銅)によって充填され得る。いくつかの実施形態において、接触孔部を充填することは、導体を堆積させる前に、接着(グルー)層、バリア層、および/またはシード層を堆積させることを含む。
方法1600は、図16Aに図示されているように、動作1608に進み、動作1608では、第1のウエハが、複数の第1のダイへとダイシングされ、第1のダイのうちの少なくとも1つが、第1の半導体構造体のうちの少なくとも1つを含むようになっている。図12Bに図示されているように、(図12Aに示されているような)第1のウエハ1202が、複数のダイ1214へとダイシングされ、少なくとも1つのダイ1214が、第1の半導体構造体1204を含むようになっている。いくつかの実施形態において、第1のウエハ1202のそれぞれのショットは、ウエハレーザーダイシングおよび/または機械的なダイシング技法を使用して、スクライブラインに沿ってカットされ、それによって、それぞれのダイ1214になる。ダイ1214は、第1の半導体構造体1204、たとえば、図9Bに示されているような構造体を含む。
方法1600は、図16Aに図示されているように、動作1610に進み、動作1610では、複数の第2の半導体構造体が、第2のウエハの上に形成される。第2の半導体構造体のうちの少なくとも1つは、DRAMセルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む。第2のウエハは、シリコンウエハであることが可能である。いくつかの実施形態において、複数の第2の半導体構造体を形成するために、DRAMセルのアレイが、第2のウエハの上に形成される。いくつかの実施形態において、DRAMセルのアレイを形成するために、複数のトランジスタが、第2のウエハの上に形成され、複数のキャパシタが、トランジスタのうちの少なくともいくつかの上方におよびそれに接触して形成される。いくつかの実施形態において、複数の第2の半導体構造体を形成するために、DRAMセルのアレイの周辺回路が、また、第2のウエハの上に形成される。
図12Aに図示されているように、複数の第2の半導体構造体1208が、第2のウエハ1206の上に形成されている。第2のウエハ1206は、スクライブラインによって分離されている複数のショットを含むことが可能である。いくつかの実施形態によれば、第2のウエハ1206のそれぞれのショットは、1つまたは複数の第2の半導体構造体1208を含む。図10A~図10Cは、第2の半導体構造体1208のフォーメーションの1つの例を図示している。
図10Aに図示されているように、複数のトランジスタ1004が、(第2のウエハ1206(たとえば、シリコンウエハ)の一部として)シリコン基板1002の上に形成されている。トランジスタ1004は、それに限定されないが、フォトリソグラフィー、ドライ/ウェットエッチング、薄膜堆積、熱膨張、インプランテーション、CMP、および任意の他の適切なプロセスを含む、複数のプロセスによって形成され得る。いくつかの実施形態において、ドープ領域は、イオンインプランテーションおよび/または熱拡散によって、シリコン基板1002の中に形成され、それは、たとえば、トランジスタ1004のソース領域および/またはドレイン領域として機能する。いくつかの実施形態において、アイソレーション領域(たとえば、STI)は、また、ウェット/ドライエッチングおよび薄膜堆積によって、シリコン基板1002の中に形成される。
図10Bに図示されているように、複数のキャパシタ1006が、トランジスタ1004(すなわち、DRAM選択トランジスタ1004)の上方にトランジスタ1004に接触して形成される。それぞれのキャパシタ1006は、写真によってパターニングされ、それぞれのDRAM選択トランジスタ1004と整合させられ、たとえば、キャパシタ1006の1つの電極をそれぞれのDRAM選択トランジスタ1004の1つのノードと電気的に接続することによって、1T1Cメモリセルを形成することが可能である。いくつかの実施形態において、ビットライン1007および共通のプレート1009は、同様に、DRAM選択トランジスタ1004およびキャパシタ1006を電気的に接続するために形成されている。キャパシタ1006は、それに限定されないが、フォトリソグラフィー、ドライ/ウェットエッチング、薄膜堆積、熱膨張、インプランテーション、CMP、および任意の他の適切なプロセスを含む、複数のプロセスによって形成され得る。それによって、DRAMセル1008(DRAM選択トランジスタ1004およびキャパシタ1006をそれぞれ有する)のアレイが形成される。
方法1600は、図16Aに図示されているように、動作1612に進み、動作1612では、第2の相互接続層が、DRAMセルのアレイの上方に形成される。第2の相互接続層は、1つまたは複数のILD層の中に第2の複数の相互接続部を含むことが可能である。図10Cに図示されているように、相互接続層1014は、DRAMセル1008のアレイの上方に形成され得る。相互接続層1014は、複数のILD層の中にMEOLおよび/またはBEOLの相互接続部を含み、DRAMセル1008のアレイと電気的接続を行うことが可能である。いくつかの実施形態において、相互接続層1014は、複数のILD層と、複数のプロセスにおいて形成されたその中の相互接続部とを含む。たとえば、相互接続層1014の中の相互接続部は、1つまたは複数の薄膜堆積プロセスによって堆積された導電性材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、電気めっき、無電解めっき、または、それらの任意の組合せを含む。相互接続部を形成するための製作プロセスは、また、フォトリソグラフィー、CMP、ウェット/ドライエッチング、または任意の他の適切なプロセスを含むことが可能である。ILD層は、1つまたは複数の薄膜堆積プロセスによって堆積された誘電材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。図10Cに図示されているILD層および相互接続部は、集合的に相互接続層1014と称され得る。
方法1600は、図16Aに図示されているように、動作1614に進み、動作1614では、第2のボンディング層が、第2の相互接続層の上方に形成される。第2のボンディング層は、複数の第2のボンディング接触部を含むことが可能である。図10Cに図示されているように、ボンディング層1016は、相互接続層1014の上方に形成されている。ボンディング層1016は、誘電体によって取り囲まれている複数のボンディング接触部1018を含むことが可能である。いくつかの実施形態において、誘電体層は、1つまたは複数の薄膜堆積プロセスによって、相互接続層1014の上部表面の上に堆積されており、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。次いで、パターニングプロセス(たとえば、誘電体層の中の誘電材料のフォトリソグラフィーおよびドライ/ウェットエッチング)を使用して、誘電体層を通る接触孔部を最初にパターニングすることによって、ボンディング接触部1018が、誘電体層を通して、相互接続層1014の中の相互接続部と接触して形成され得る。接触孔部は、導体(たとえば、銅)によって充填され得る。いくつかの実施形態において、接触孔部を充填することは、導体を堆積させる前に、接着(グルー)層、バリア層、および/またはシード層を堆積させることを含む。
方法1600は、図16Aに図示されているように、動作1616に進み、動作1616では、第2のウエハが、複数の第2のダイへとダイシングされ、第2のダイのうちの少なくとも1つが、第2の半導体構造体のうちの少なくとも1つを含むようになっている。図12Bに図示されているように、(図12Aに示されているような)第2のウエハ1206が、複数のダイ1216へとダイシングされ、少なくとも1つのダイ1216が、第2の半導体構造体1208を含むようになっている。いくつかの実施形態において、第2のウエハ1206のそれぞれのショットは、ウエハレーザーダイシングおよび/または機械的なダイシング技法を使用して、スクライブラインに沿って第2のウエハ1206からカットされ、それによって、それぞれのダイ1216になる。ダイ1216は、第2の半導体構造体1208、たとえば、図10Cに示されているような構造体を含む。
方法1600は、図16Bに図示されているように、動作1618に進み、動作1618では、複数の第3の半導体構造体が、第3のウエハの上に形成される。第3の半導体構造体のうちの少なくとも1つは、プログラマブルロジックデバイスと、複数の第3のボンディング接触部を含む第3のボンディング層とを含む。第3のウエハは、シリコンウエハであることが可能である。いくつかの実施形態において、複数の第3の半導体構造体を形成するために、プログラマブルロジックデバイスが、第3のウエハの上に形成される。いくつかの実施形態において、プログラマブルロジックデバイスを形成するために、複数のトランジスタが、第3のウエハの上に形成される。いくつかの実施形態において、複数の第3の半導体構造体を形成するために、NANDメモリセルのアレイまたはDRAMセルのアレイのうちの少なくとも1つの周辺回路が、また、第3のウエハの上に形成される。
図12Aに図示されているように、複数の第3の半導体構造体1212が、第3のウエハ1210の上に形成されている。第3のウエハ1210は、スクライブラインによって分離されている複数のショットを含むことが可能である。いくつかの実施形態によれば、第3のウエハ1210のそれぞれのショットは、1つまたは複数の第3の半導体構造体1212を含む。図8Aおよび図8Bは、第3の半導体構造体1212のフォーメーションの1つの例を図示している。
図8Aに図示されているように、複数のトランジスタ804が、それに限定されないが、フォトリソグラフィー、ドライ/ウェットエッチング、薄膜堆積、熱膨張、インプランテーション、CMP、および任意の他の適切なプロセスを含む、複数のプロセスによって、(第3のウエハ1210(たとえば、シリコンウエハ)の一部として)シリコン基板802の上に形成される。いくつかの実施形態において、ドープ領域は、イオンインプランテーションおよび/または熱拡散によって、シリコン基板802の中に形成され、それは、たとえば、トランジスタ804のソース領域および/またはドレイン領域として機能する。いくつかの実施形態において、アイソレーション領域(たとえば、STI)は、また、ウェット/ドライエッチングおよび薄膜堆積によって、シリコン基板802の中に形成される。トランジスタ804は、シリコン基板802の上にデバイス層806を形成することが可能である。いくつかの実施形態において、デバイス層806は、プログラマブルロジックデバイス808および周辺回路812を含む。
方法1600は、図16Bに図示されているように、動作1620に進み、動作1620では、第3の相互接続層が、プログラマブルロジックデバイスの上方に形成される。第3の相互接続層は、1つまたは複数のILD層の中に第3の複数の相互接続部を含むことが可能である。図8Bに図示されているように、相互接続層814は、プログラマブルロジックデバイス808を含むデバイス層806の上方に形成され得る。相互接続層814は、複数のILD層の中にMEOLおよび/またはBEOLの相互接続部を含み、デバイス層806と電気的接続を行うことが可能である。いくつかの実施形態において、相互接続層814は、複数のILD層と、複数のプロセスにおいて形成されたその中の相互接続部とを含む。たとえば、相互接続層814の中の相互接続部は、1つまたは複数の薄膜堆積プロセスによって堆積された導電性材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、電気めっき、無電解めっき、または、それらの任意の組合せを含む。相互接続部を形成するための製作プロセスは、また、フォトリソグラフィー、CMP、ウェット/ドライエッチング、または任意の他の適切なプロセスを含むことが可能である。ILD層は、1つまたは複数の薄膜堆積プロセスによって堆積された誘電材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。図8Bに図示されているILD層および相互接続部は、集合的に相互接続層814と称され得る。
方法1600は、図16Bに図示されているように、動作1622に進み、動作1622では、第3のボンディング層が、第3の相互接続層の上方に形成される。第3のボンディング層は、複数の第3のボンディング接触部を含むことが可能である。図8Bに図示されているように、ボンディング層816は、相互接続層814の上方に形成されている。ボンディング層816は、誘電体によって取り囲まれている複数のボンディング接触部818を含むことが可能である。いくつかの実施形態において、誘電体層は、1つまたは複数の薄膜堆積プロセスによって、相互接続層814の上部表面の上に堆積されており、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。次いで、パターニングプロセス(たとえば、誘電体層の中の誘電材料のフォトリソグラフィーおよびドライ/ウェットエッチング)を使用して、誘電体層を通る接触孔部を最初にパターニングすることによって、ボンディング接触部818が、誘電体層を通して、相互接続層814の中の相互接続部と接触して形成され得る。接触孔部は、導体(たとえば、銅)によって充填され得る。いくつかの実施形態において、接触孔部を充填することは、導体を堆積させる前に、バリア層、接着層、および/またはシード層を堆積させることを含む。
方法1600は、図16Bに図示されているように、動作1624に進み、動作1624では、第3のウエハが、複数の第3のダイへとダイシングされ、第3のダイのうちの少なくとも1つが、第3の半導体構造体のうちの少なくとも1つを含むようになっている。図12Bに図示されているように、(図12Aに示されているような)第3のウエハ1210が、複数のダイ1218へとダイシングされ、少なくとも1つのダイ1218が、第3の半導体構造体1212を含むようになっている。いくつかの実施形態において、第3のウエハ1210のそれぞれのショットは、ウエハレーザーダイシングおよび/または機械的なダイシング技法を使用して、スクライブラインに沿って第3のウエハ1210からカットされ、それによって、それぞれのダイ1218になる。ダイ1218は、第3の半導体構造体1212、たとえば、図8Bに示されているような構造体を含む。
方法1600は、図16Bに図示されているように、動作1626に進み、動作1626では、第3のダイならびに第1のダイおよび第2のダイのそれぞれは、向かい合った様式で結合されており、第3の半導体構造体が、第1の半導体構造体および第2の半導体構造体のそれぞれに結合されるようになっている。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触しており、第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。ボンディングは、ハイブリッドボンディングであることが可能である。いくつかの実施形態において、第3の半導体構造体は、ボンディングの後に、第1の半導体構造体および第2の半導体構造体の上方にある。いくつかの実施形態において、第3の半導体構造体は、ボンディングの後に、第1の半導体構造体および第2の半導体構造体の下方にある。
図12Cに図示されているように、ダイ1218ならびにダイ1214および1216のそれぞれは、向かい合った様式で結合されており、第3の半導体構造体1212が、第1のボンディングインターフェース1220において、第1の半導体構造体1204に結合されるようになっており、第2のボンディングインターフェース1222において、第2の半導体構造体1208に結合されるようになっている。第1および第2のボンディングインターフェース1220および1222は、同じ平面にあることが可能である。第3の半導体構造体1212は、図12Cに示されているように、ボンディングの後に、第1および第2の半導体構造体1204および1208の下方にあるが、第3の半導体構造体1212は、いくつかの実施形態において、ボンディングの後に、第1および第2の半導体構造体1204および1208の上方にあってもよいということが理解される。図11Aは、第1の、第2の、および第3の半導体構造体1204、1208、および1212を結合することの例を図示している。
図11Aに図示されているように、シリコン基板902およびその上に形成されたコンポーネント(たとえば、メモリスタック904、および、それを通して形成された3D NANDメモリストリング910のアレイ)は、逆さまにひっくり返されている。下に向いているボンディング層922は、上に向いているボンディング層816と(すなわち、向かい合った様式で)結合されており、それによって、(図11Bに示されているように)第1のボンディングインターフェース1102を形成している。同様に、シリコン基板1002およびその上に形成されたコンポーネント(たとえば、DRAMセル1012)は、逆さまにひっくり返されている。下に向いているボンディング層1016は、上に向いているボンディング層816と(すなわち、同様に向かい合った様式で)結合されており、それによって、(図11Bに示されているように)第2のボンディングインターフェース1104を形成している。すなわち、シリコン基板902および1002ならびにその上に形成されたコンポーネントは、互いに隣に、向かい合った様式で、シリコン基板802およびその上に形成されたコンポーネントと結合され得、第1および第2のボンディングインターフェース1102および1104が、互いに同一平面上にあり、同じ平面にあるようになっている。いくつかの実施形態において、処理プロセス(たとえば、プラズマ処理、ウェット処理、および/または熱処理)が、ボンディングの前にボンディング表面に適用される。図11Aに示されていないが、シリコン基板802およびその上に形成されたコンポーネント(たとえば、プログラマブルロジックデバイス808および周辺回路812を含むデバイス層806)は、逆さまにひっくり返され得、下に向いているボンディング層816は、上に向いているボンディング層922および1016のそれぞれと(すなわち、向かい合った様式で)結合され得、それによって、第1および第2のボンディングインターフェース1102および1104を形成している。
ボンディングの後に、ボンディング層922の中のボンディング接触部924、および、ボンディング層816の中のいくつかのボンディング接触部818(シリコン基板902の直ぐ下方の第1のセットのボンディング接触部818)が整合させられ、互いに接触しており、メモリスタック904およびそれを通して形成された3D NANDメモリストリング910のアレイが、デバイス層806(たとえば、その中のプログラマブルロジックデバイス808および周辺回路812)に電気的に接続され得るようになっている。同様に、ボンディングの後に、ボンディング層1016の中のボンディング接触部1018、および、ボンディング層816の中のいくつかのボンディング接触部818(シリコン基板1002の直ぐ下方の第2のセットのボンディング接触部818)が整合させられ、互いに接触しており、DRAMセル1012のアレイが、デバイス層806(たとえば、その中のプログラマブルロジックデバイス808および周辺回路812)に電気的に接続され得るようになっている。結合されたチップにおいて、デバイス層806(たとえば、その中のプログラマブルロジックデバイス808および周辺回路812)は、メモリスタック904、3D NANDメモリストリング910のアレイ、およびDRAMセル1012のアレイの上方または下方のいずれかにあることが可能であるということが理解される。それにもかかわらず、第1および第2のボンディングインターフェース1102および1104は、図11Bに図示されているように、ボンディングの後に、デバイス層806(たとえば、その中のプログラマブルロジックデバイス808および周辺回路812)とメモリスタック904(および、それを通して形成された3D NANDメモリストリング910のアレイ)およびDRAMセル1012のアレイとの間に形成され得る。
方法1600は、図16Bに図示されているように、動作1628に進み、動作1628では、第3のウエハまたは第1および第2のウエハのそれぞれは、半導体層を形成するために薄くされている。いくつかの実施形態において、第3の半導体構造体の第3のウエハは、ボンディングの後に、第1の半導体構造体の第1のウエハ、および、第2の半導体構造体の第2のウエハの上方にあり、第3の半導体構造体の第3のウエハは、半導体層を形成するために薄くされている。いくつかの実施形態において、第1の半導体構造体の第1のウエハ、および、第2の半導体構造体の第2のウエハは、ボンディングの後に、第3の半導体構造体の第3のウエハの上方にあり、それらは、それぞれ、第1および第2の半導体層を形成するために薄くされている。
図11Bに図示されているように、(図11Aに示されているような)結合されたチップの上部におけるシリコン基板902は薄くされており、薄くされた上部基板が、第1の半導体層1106(たとえば、単結晶シリコン層またはポリシリコン層)として機能することができるようになっている。同様に、(図11Aに示されているような)結合されたチップの上部におけるシリコン基板1002は薄くされており、薄くされた上部基板が、第2の半導体層1108(たとえば、単結晶シリコン層)として機能することができるようになっている。1つの例において、薄くされた基板の厚さは、たとえば、エッチングプロセスおよびCMPプロセスの組合せを使用して、約1μmから約20μmの間にあることが可能であり、たとえば、1μmから20μmの間(たとえば、1μm、2μm、3μm、4μm、5μm、6μm、7μm、8μm、9μm、10μm、15μm、20μm、これらの値のいずれかによる下側端部によって境界を定められた任意の範囲、または、これらの値のうちの任意の2つによって定義される任意の範囲)などにあることが可能である。いくつかの実施形態において、追加的なエッチングプロセスをさらに適用することによって、薄くされた基板の厚さは、1μmを下回るまで(たとえば、サブミクロン範囲内に)さらに低減され得るということが理解される。いくつかの実施形態において、第1および第2の半導体層1106および1108は、単一の連続的な半導体層であることが可能であるということが理解される。また、いくつかの実施形態において、別の単一の連続的な半導体層が、第1および第2の半導体層1106および1108の上に形成され得るということが理解される。シリコン基板802が、結合されたチップの上部における基板であるときには、別の半導体層が、シリコン基板802を薄くすることによって形成され得るということがさらに理解される。
方法1600は、図16Bに図示されているように、動作1630に進み、動作1630では、パッドアウト相互接続層が、半導体層の上方に形成される。図11Bに図示されているように、第1のパッドアウト相互接続層1110が、第1の半導体層1106の上方に形成され、第2のパッドアウト相互接続層1112が、第2の半導体層1108の上方に形成される。パッドアウト相互接続層1110および1112は、1つまたは複数のILD層の中に形成された相互接続部(たとえば、パッド接触部1114および1116など)を含むことが可能である。パッド接触部1114および1116は、それに限定されないが、W、Co、Cu、Al、ドープトシリコン、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。ILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。いくつかの実施形態において、結合することおよび薄くすることの後に、接触部1118および1120が、たとえば、ウェット/ドライエッチング(導電性材料を堆積させることがそれに続く)によって、それぞれ、第1および第2の半導体層1106および1108を通って垂直方向に延在するように形成される。接触部1118および1120は、それぞれ、第1および第2のパッドアウト相互接続層1110および1112の中の相互接続部と接触していることが可能である。
図12A~図12C、図16A、および図16Bを参照して上記に説明されているような、ダイシングの後のダイ-ツー-ダイボンディングに基づくパッケージングスキームの代わりに、図13A~図13D、図17A、および図17Bは、いくつかの実施形態による、ダイ-ツー-ウエハボンディングに基づく別のパッケージングスキームを図示している。図17Aおよび図17Bの中の方法1700の動作1602、1604、1606、1608、1610、1612、1614、1616、1618、1620、および1622は、図16Aおよび図16Bの中の方法1600を参照して上記に説明されており、したがって、繰り返されていない。図13Aに図示されているように、複数の第1の半導体構造体1304は、第1のウエハ1302の上に形成されている。第1のウエハ1302は、スクライブラインによって分離されている複数のショットを含むことが可能である。いくつかの実施形態によれば、第1のウエハ1302のそれぞれのショットは、1つまたは複数の第1の半導体構造体1304を含む。図9Aおよび図9Bは、第1の半導体構造体1304のフォーメーションの1つの例を図示している。同様に、複数の第2の半導体構造体1308は、第2のウエハ1306の上に形成されている。第2のウエハ1306は、スクライブラインによって分離されている複数のショットを含むことが可能である。いくつかの実施形態によれば、第2のウエハ1306のそれぞれのショットは、1つまたは複数の第2の半導体構造体1308を含む。図10A~図10Cは、第2の半導体構造体1308のフォーメーションの1つの例を図示している。同様に、複数の第3の半導体構造体1312は、第3のウエハ1310の上に形成されている。第3のウエハ1310は、スクライブラインによって分離されている複数のショットを含むことが可能である。いくつかの実施形態によれば、第3のウエハ1310のそれぞれのショットは、1つまたは複数の第3の半導体構造体1312を含む。図8Aおよび図8Bは、第3の半導体構造体1312のフォーメーションの1つの例を図示している。
図13Bに図示されているように、(図13Aに示されているような)第1のウエハ1302は、複数のダイ1314へとダイシングされ、少なくとも1つのダイ1314が、第1の半導体構造体1304を含むようになっている。いくつかの実施形態において、第1のウエハ1302のそれぞれのショットは、ウエハレーザーダイシングおよび/または機械的なダイシング技法を使用して、スクライブラインに沿って第1のウエハ1302からカットされ、それによって、それぞれのダイ1314になる。ダイ1314は、第1の半導体構造体1304、たとえば、図9Bに示されているような構造体を含む。同様に、(図13Aに示されているような)第2のウエハ1306は、複数のダイ1316へとダイシングされ、少なくとも1つのダイ1316が、第2の半導体構造体1308を含むようになっている。いくつかの実施形態において、第2のウエハ1306のそれぞれのショットは、ウエハレーザーダイシングおよび/または機械的なダイシング技法を使用して、スクライブラインに沿って第2のウエハ1306からカットされ、それによって、それぞれのダイ1316になる。ダイ1316は、第2の半導体構造体1308、たとえば、図10Cに示されているような構造体を含む。
方法1700は、図17Bに図示されているように、動作1702に進み、動作1702では、第3のウエハならびに少なくとも1つの第1のダイおよび少なくとも1つの第2のダイのそれぞれは、向かい合った様式で結合され、結合された構造体を形成しており、少なくとも1つの第3の半導体構造体が、第1の半導体構造体および第2の半導体構造体のそれぞれに結合されるようになっている。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触しており、第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。
図13Cに図示されているように、第3のウエハ1310ならびに第1の半導体構造体1304を含むダイ1314および第2の半導体構造体1308を含むダイ1316のそれぞれは、向かい合った様式で結合されており、第1の半導体構造体1304が、第1のボンディングインターフェース1318において、第3の半導体構造体1312に結合されるようになっており、第2の半導体構造体1308が、第2のボンディングインターフェース1320において、第3の半導体構造体1312に結合されるようになっている。第1の半導体構造体1304および第2の半導体構造体1308は、図13Cに示されているように、ボンディングの後に、第3の半導体構造体1312の上方にあるが、第3の半導体構造体1312は、いくつかの実施形態において、ボンディングの後に、第1の半導体構造体1304および第2の半導体構造体1308の上方にあってもよいということが理解される。図11Aは、結合された第1の、第2の、および第3の半導体構造体1304、1308、および1312のフォーメーションの1つの例を図示している。
方法1700は、図17Bに図示されているように、動作1704に進み、動作1704では、第3のウエハまたは第1および第2のウエハのそれぞれは、半導体層を形成するために薄くされている。いくつかの実施形態において、第3の半導体構造体の第3のウエハは、ボンディングの後に、第1の半導体構造体の第1のウエハ、および、第2の半導体構造体の第2のウエハの上方にあり、第3の半導体構造体の第3のウエハは、半導体層を形成するために薄くされている。いくつかの実施形態において、第1の半導体構造体の第1のウエハ、および、第2の半導体構造体の第2のウエハは、ボンディングの後に、第3の半導体構造体の第3のウエハの上方にあり、それらは、それぞれ、第1および第2の半導体層を形成するために薄くされている。
図11Bに図示されているように、(図11Aに示されているような)結合されたチップの上部におけるシリコン基板902は薄くされており、薄くされた上部基板が、第1の半導体層1106(たとえば、単結晶シリコン層またはポリシリコン層)として機能することができるようになっている。同様に、(図11Aに示されているような)結合されたチップの上部におけるシリコン基板1002は薄くされており、薄くされた上部基板が、第2の半導体層1108(たとえば、単結晶シリコン層)として機能することができるようになっている。1つの例において、薄くされた基板の厚さは、たとえば、エッチングプロセスおよびCMPプロセスの組合せを使用して、約1μmから約20μmの間にあることが可能であり、たとえば、1μmから20μmの間(たとえば、1μm、2μm、3μm、4μm、5μm、6μm、7μm、8μm、9μm、10μm、15μm、20μm、これらの値のいずれかによる下側端部によって境界を定められた任意の範囲、または、これらの値のうちの任意の2つによって定義される任意の範囲)などにあることが可能である。いくつかの実施形態において、追加的なエッチングプロセスをさらに適用することによって、薄くされた基板の厚さは、1μmを下回るまで(たとえば、サブミクロン範囲内に)さらに低減され得るということが理解される。いくつかの実施形態において、第1および第2の半導体層1106および1108は、単一の連続的な半導体層であることが可能であるということが理解される。また、いくつかの実施形態において、別の単一の連続的な半導体層が、第1および第2の半導体層1106および1108の上に形成され得るということが理解される。シリコン基板802が、結合されたチップの上部における基板であるときには、別の半導体層が、シリコン基板802を薄くすることによって形成され得るということがさらに理解される。
方法1700は、図17Bに図示されているように、動作1706に進み、動作1706では、パッドアウト相互接続層が、半導体層の上方に形成される。図11Bに図示されているように、第1のパッドアウト相互接続層1110が、第1の半導体層1106の上方に形成され、第2のパッドアウト相互接続層1112が、第2の半導体層1108の上方に形成される。パッドアウト相互接続層1110および1112は、1つまたは複数のILD層の中に形成された相互接続部(たとえば、パッド接触部1114および1116など)を含むことが可能である。パッド接触部1114および1116は、それに限定されないが、W、Co、Cu、Al、ドープトシリコン、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。ILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。いくつかの実施形態において、結合することおよび薄くすることの後に、接触部1118および1120が、たとえば、ウェット/ドライエッチング(導電性材料を堆積させることがそれに続く)によって、それぞれ、第1および第2の半導体層1106および1108を通って垂直方向に延在するように形成される。接触部1118および1120は、それぞれ、第1および第2のパッドアウト相互接続層1110および1112の中の相互接続部と接触していることが可能である。
方法1700は、図17Bに図示されているように、動作1708に進み、動作1708では、結合された構造体が、複数のダイへとダイシングされる。ダイのうちの少なくとも1つは、結合された第1の、第2の、および第3の半導体構造体を含む。図13Dに図示されているように、(図13Cに示されているような)結合された構造体は、複数のダイ1322へとダイシングされる。ダイ1322のうちの少なくとも1つは、結合された第1の、第2の、および第3の半導体構造体1304、1308、および1312を含む。いくつかの実施形態において、結合された構造体のそれぞれのショットは、ウエハレーザーダイシングおよび/または機械的なダイシング技法を使用して、スクライブラインに沿って、結合された構造体からカットされ、それによって、それぞれのダイ1322になる。ダイ1322は、結合された第1の、第2の、および第3の半導体構造体1304、1308、および1312、たとえば、図11Bに示されているような結合された構造体を含むことが可能である。
いくつかの実施形態において、本明細書で開示されているNANDメモリは、3D NANDメモリストリングのアレイに加えてまたはその代わりに、2D NANDメモリセルのアレイを含むことが可能であるということが理解される。図14は、いくつかの実施形態による、2D NANDメモリセルを有する例示的な半導体構造体1400の断面を図示している。半導体構造体1400は、NANDフラッシュメモリデバイスを含み、そこでは、メモリセルが、基板1402の上に2D NANDメモリセル1403のアレイの形態で提供されている。2D NANDメモリセル1403のアレイは、複数の2D NANDメモリストリングを含むことが可能であり、そのそれぞれは、それぞれソース/ドレイン1405(NANDゲートに似ている)によって直列に接続されている複数のメモリセルと、2D NANDメモリストリングの端部にある2つの選択トランジスタ1407とを含む。いくつかの実施形態において、それぞれの2D NANDメモリセル1403は、垂直方向にスタックされたフローティングゲート1409および制御ゲート1411を有するフローティングゲートトランジスタを含む。いくつかの実施形態において、フローティングゲートトランジスタは、誘電体層(たとえば、垂直方向に制御ゲート1411とフローティングゲート1409との間に配設されているブロッキング層、および、フローティングゲート1409の下方に配設されているトンネリング層など)をさらに含む。チャネルが、ソース/ドレイン1405とゲートスタック(トンネリング層、フローティングゲート1409、ブロッキング層、および制御ゲート1411を含む)の下方との間に、横方向に形成され得る。いくつかの実施形態によれば、それぞれのチャネルは、制御ゲート1411を通してそれぞれのゲートスタックに印加される電圧信号によって制御される。2D NANDメモリセル1403は、チャージトラップトランジスタを含むことが可能であり、チャージトラップトランジスタは、フローティングゲート1409をストレージ層と交換しているということが理解される。
いくつかの実施形態において、半導体構造体1400は、また、2D NANDメモリセル1403のアレイの上方に相互接続層1413を含み、2D NANDメモリセル1403のアレイへおよびそれから、電気信号を転送する。相互接続層1413は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビア接触部を含む。いくつかの実施形態において、相互接続層1413の中に相互接続部は、また、ビットライン接触部およびワードライン接触部などのような、局所的相互接続部を含む。いくつかの実施形態において、半導体構造体1400は、相互接続層1413および2D NANDメモリセル1403のアレイの上方に、ボンディング層1415をさらに含む。ボンディング層1415は、複数のボンディング接触部1417と、ボンディング接触部1417を取り囲んで電気的に隔離する誘電体とを含むことが可能である。
プログラマブルロジックデバイスがその中に形成されている、上記に開示されている第3の半導体構造体(たとえば、706および707)は、NANDメモリおよび/またはDRAM(たとえば、720および759)の周辺回路をそれぞれ含むが、いくつかの実施形態において、周辺回路の全体または一部は、結合された半導体デバイスの中の第3の半導体構造体の中に含まれなくてもよいということが理解される。また、NANDメモリがその中に形成されている、上記に開示されている第1の半導体構造体(たとえば、702および703)は、NANDメモリの周辺回路をそれぞれ含まないが、いくつかの実施形態において、周辺回路の全体または一部は、結合された半導体デバイスの中の第1の半導体構造体の中に含まれてもよいということが理解される。DRAMがその中に形成されている、上記に開示されている第2の半導体構造体(たとえば、704および705)は、DRAMの周辺回路をそれぞれ含まないが、いくつかの実施形態において、周辺回路の全体または一部は、結合された半導体デバイスの中の第2の半導体構造体の中に含まれてもよいということがさらに理解される。
図15Aは、いくつかの実施形態による、NANDメモリおよび周辺回路を有する例示的な半導体構造体1500の断面を図示している。単に例示目的のためだけに、半導体構造体1500の中のNANDメモリ1504は、図7Bに関して第1の半導体構造体703において上記に詳細に説明されているように、基板1502の上方のメモリスタック715を通って垂直方向に延在する3D NANDメモリストリング717のアレイを含む。半導体構造体703および1500の両方の中の同様の構造体の詳細(たとえば、材料、製作プロセス、機能など)は、下記に繰り返されていない。NANDメモリ1504は、他の実施形態では、2D NANDメモリセル(たとえば、1403)のアレイを含むことが可能であるということが理解される。
図15Aに図示されているように、半導体構造体1500は、基板1502の上に、および、NANDメモリ1504(たとえば、3D NANDメモリストリング717のアレイ)の外側に、周辺回路1506をさらに含む。NANDメモリ1504およびNANDメモリ1504の周辺回路1506の両方は、同じ平面に、たとえば、基板1502の上に形成され得る。周辺回路1506は、ページバッファ、デコーダ(たとえば、行デコーダおよび列デコーダ)、センスアンプ、ドライバー(たとえば、ワードラインドライバ)、チャージポンプ、電流もしくは電圧リファレンス、または、回路の任意のアクティブまたはパッシブコンポーネント(たとえば、トランジスタ、ダイオード、抵抗器、またはキャパシタ)のうちの1つまたは複数を含む、NANDメモリ1504をセンシングおよび制御するための周辺回路の全体または一部であることが可能である。いくつかの実施形態において、周辺回路1506は、複数のトランジスタ1508を含む。トランジスタ1508は、基板1502の「上に」形成され得、トランジスタ1508の全体または一部は、基板1502の中に(たとえば、基板1502の上部表面の下方に)および/または基板1502の直ぐ上に形成されている。アイソレーション領域(たとえば、STI)およびドープ領域(たとえば、トランジスタ1508のソース領域およびドレイン領域)は、同様に基板1502の中に形成され得る。いくつかの実施形態によれば、トランジスタ1508は、先進的なロジックプロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどのテクノロジーノード)によって高速である。
いくつかの実施形態において、半導体構造体1500は、また、NANDメモリ1504(たとえば、メモリスタック715、3D NANDメモリストリング717)および周辺回路1506の上方に相互接続層1510を含み、3D NANDメモリストリング717および周辺回路1506へおよびそれから、電気信号を転送する。相互接続層1510は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビア接触部を含む。NANDメモリ1504(たとえば、3D NANDメモリストリング717)および周辺回路1506は、同様に、相互接続層1510の中の相互接続部によって電気的に接続され得る。いくつかの実施形態において、半導体構造体1500は、相互接続層1510、メモリスタック715(および、それを通る3D NANDメモリストリング717)、および周辺回路1506の上方に、ボンディング層1512をさらに含む。ボンディング層1512は、複数のボンディング接触部1514と、ボンディング接触部1514を取り囲んで電気的に隔離する誘電体とを含むことが可能である。
同じ半導体構造体の中のNANDメモリおよびNANDメモリの周辺回路の相対的位置は、図15Aに示されているように同じ平面にあるように限定されない。いくつかの実施形態において、NANDメモリの周辺回路は、NANDメモリの上方にある。いくつかの実施形態において、NANDメモリの周辺回路は、NANDメモリの下方にある。図15Bは、いくつかの実施形態による、NANDメモリおよび周辺回路を有する別の例示的な半導体構造体1501の断面を図示している。半導体構造体1501は、半導体構造体703と同様になっており、その両方は、メモリスタック715、3D NANDメモリストリング717のアレイ、メモリスタック715の上方の相互接続層723、および、相互接続層723の上方のボンディング層725を含む。したがって、半導体構造体703および1501の両方の中の同様の構造体の詳細(たとえば、材料、製作プロセス、機能など)は繰り返されていない。
半導体構造体703とは異なり、半導体構造体1501は、基板1503の上に、メモリスタック715(および、それを通る3D NANDメモリストリング717)の下方に、周辺回路1507をさらに含む。周辺回路1507は、ページバッファ、デコーダ(たとえば、行デコーダおよび列デコーダ)、センスアンプ、ドライバー(たとえば、ワードラインドライバ)、チャージポンプ、電流もしくは電圧リファレンス、または、回路の任意のアクティブまたはパッシブコンポーネント(たとえば、トランジスタ、ダイオード、抵抗器、またはキャパシタ)のうちの1つまたは複数を含む、3D NANDメモリストリング717をセンシングおよび制御するための周辺回路の全体または一部であることが可能である。いくつかの実施形態において、周辺回路1507は、複数のトランジスタ1509を含む。トランジスタ1509は、基板1503の「上に」形成され得、トランジスタ1509の全体または一部は、基板1503の中に(たとえば、基板1503の上部表面の下方に)および/または基板1503の直ぐ上に形成されている。アイソレーション領域(たとえば、STI)およびドープ領域(たとえば、トランジスタ1509のソース領域およびドレイン領域)は、同様に基板1503の中に形成され得る。いくつかの実施形態によれば、トランジスタ1509は、先進的なロジックプロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどのテクノロジーノード)によって高速である。
いくつかの実施形態において、半導体構造体1501は、また、相互接続層1511を含み、相互接続層1511は、垂直方向に周辺回路1507とメモリスタック715(および、それを通る3D NANDメモリストリング717)との間に形成されており、3D NANDメモリストリング717と周辺回路1507との間で電気信号を転送するために、3D NANDメモリストリング717および周辺回路1507を電気的に接続している。相互接続層1511は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビア接触部を含む。3D NANDメモリストリング717および周辺回路1507は、同様に、相互接続層1511の中の相互接続部によって電気的に接続され得る。いくつかの実施形態において、半導体構造体1501は、半導体層1505をさらに含み、メモリスタック715(および、それを通る3D NANDメモリストリング717)が、半導体層1505の上方に形成され得る。半導体層1505は、たとえば、1つまたは複数の薄膜堆積プロセスによって、相互接続層1511の上方に形成されたポリシリコン層であることが可能である。次いで、メモリスタック715は、半導体層1505の上方に形成され得る。周辺回路1507は、図15Bに示されているように、メモリスタック715(および、それを通る3D NANDメモリストリング717)の下方にあるが、いくつかの実施形態において、周辺回路1507は、メモリスタック715(および、それを通る3D NANDメモリストリング717)の上方にあってもよいということが理解される。
図15Aおよび図15Bの中の半導体構造体1500および1501は、NANDフラッシュメモリを含むが、DRAMを含む半導体構造体は、半導体構造体1500および1501と同様の構成を有することが可能であるということが理解される。たとえば、本明細書で開示されているようなDRAMを含む半導体構造体(たとえば、704および705)は、同様に、DRAMセルの周辺回路の全体または一部を含むことが可能である。DRAMセルの周辺回路は、DRAMセルと同じ平面に(たとえば、DRAMセルアレイの外側)、DRAMセルアレイの上方に、および/または、DRAMセルアレイの下方にあることが可能である。
上記に説明されているように、方法1600または方法1700にしたがって製作される、プログラマブルロジックデバイスおよび異種メモリを有する半導体デバイスは、いくつかの実施形態によれば、製造時に未定義の関数を有しており、その所望の機能を実施するように、製造後にプログラムされる必要がある。たとえば、図18は、いくつかの実施形態による、プログラマブルロジックデバイスを有する半導体デバイスをプログラムするための例示的な方法1800のフローチャートである。図18に説明されている半導体デバイスは、たとえば、図7Aおよび図7Bにそれぞれ示されている半導体デバイス700および701を含む、本明細書で説明されている任意の半導体デバイスであることが可能である。
図18を参照すると、方法1800は、動作1802において開始し、動作1802では、プログラマブルロジックデバイス(たとえば、FPGA)を有する半導体デバイスによって実施されることとなる関数が特定されている。たとえば、I/Oインターフェース、異なるレベルにおける機能的挙動および/またはモジュール、およびその内部インターフェース、およびシステムクロックが、この段階において機能仕様として定義され得る。方法1800は、図18に図示されているように、動作1804に進み、動作1804では、機能仕様が、HDL(たとえば、VHDLまたはVerilogなど)の形態で提供される。たとえば、HDLの中のレジスター転送レベル(RTL)記述が、生成およびシミュレートされ得る。方法1800は、図18に図示されているように、動作1806に進み、動作1806では、HDLにおいて特定された設計が合成される。たとえば、プログラマブルロジックデバイスのためのビットストリーム/ネットリストが、ロジック合成プロセスによって発生させられ得、それは、所望の機能的挙動の抽象仕様を、たとえば、RTLにおいて、ロジックブロックレベルにおける設計に変換する。方法1800は、図18に図示されているように、動作1808に進み、動作1808では、ロジックブロックが、プログラマブルロジックデバイスのグリッドの上に設置されてルーティングされる(相互接続される)。たとえば、自動化された設置およびルーティング手順が、ネットリストに基づいてピンアウトを発生させるように実施され得、それは、プログラマブルロジックデバイスの外側のパーツとインターフェース接続するために使用されることとなる。動作1802、1804、1806、および1808は、電子設計自動化(EDA)ツールによって実施され得る。
方法1800は、図18に図示されているように、動作1810に進み、動作1810では、プログラマブルロジックデバイスを有する半導体デバイスが構成される。たとえば、設計プロセスおよび検証プロセスが完了すると、たとえば、FPGAベンダーのプロプライエタリソフトウェアを使用して発生させられるバイナリーファイルが、プログラマブルロジックデバイスを構成するために使用され得る。1つの例において、ビットストリームのフォーマットの中のこのファイルは、インターフェース(たとえば、シリアルインターフェース(JTAG))を介してFPGAの中へ、または、半導体デバイスの中のメモリデバイス(たとえば、DRAMおよび/もしくはNANDメモリ)へ、転送/ダウンロードされる。いくつかの実施形態において、方法1800は、図18に図示されているように、動作1812に進むことが可能であり、動作1812では、残りのプログラマブルロジックデバイス設計が機能し続けている間に、プログラマブルロジックデバイスを有する半導体デバイスが、ダイナミック様式で部分的に再構成され得るということが理解される。たとえば、動作しているFPGA設計の中のプログラマブルロジックブロックのサブセットは、部分的なビットストリームを半導体デバイスの中のFPGAの中へダウンロードすることによって再構成され得る。部分的な再構成は、アクティブFPGA設計の中の関数モジュールのダイナミックな変化を可能にすることができる。
本開示の1つの態様によれば、半導体デバイスは、NANDメモリセルのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む。また、半導体デバイスは、第2の半導体構造体を含み、第2の半導体構造体は、DRAMセルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む。また、半導体デバイスは、第3の半導体構造体を含み、第3の半導体構造体は、プログラマブルロジックデバイスと、複数の第3のボンディング接触部を含む第3のボンディング層とを含む。半導体デバイスは、第1のボンディング層と第3のボンディング層との間の第1のボンディングインターフェースと、第2のボンディング層と第3のボンディング層との間の第2のボンディングインターフェースとをさらに含む。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触している。第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。第1のボンディングインターフェースおよび第2のボンディングインターフェースは、同じ平面にある。
いくつかの実施形態において、第3の半導体構造体は、基板と、基板の上のプログラマブルロジックデバイスと、プログラマブルロジックデバイスの上方の第3のボンディング層とを含む。
いくつかの実施形態において、第1の半導体構造体は、第3のボンディング層の上方の第1のボンディング層と、第1のボンディング層の上方のNANDメモリセルのアレイと、NANDメモリセルのアレイの上方にあり、NANDメモリセルのアレイと接触している第1の半導体層とを含む。いくつかの実施形態において、NANDメモリセルのアレイは、3D NANDメモリストリングまたは2D NANDメモリセルのうちの少なくとも1つを含む。
いくつかの実施形態において、半導体構造体は、第1の半導体層の上方に第1のパッドアウト相互接続層をさらに含む。いくつかの実施形態において、第1の半導体層は、単結晶シリコンを含む。いくつかの実施形態において、第1の半導体層は、ポリシリコンを含む。
いくつかの実施形態において、第2の半導体構造体は、第3のボンディング層の上方の第2のボンディング層と、第2のボンディング層の上方のDRAMセルアレイと、DRAMセルアレイの上方にあり、DRAMセルアレイと接触している第2の半導体層とを含む。
いくつかの実施形態において、半導体構造体は、第2の半導体層の上方に第2のパッドアウト相互接続層をさらに含む。いくつかの実施形態において、第2の半導体層は、単結晶シリコンを含む。
いくつかの実施形態において、第1の半導体構造体は、第1の基板と、第1の基板の上のNANDメモリセルのアレイと、NANDメモリセルのアレイの上方の第1のボンディング層とを含む。いくつかの実施形態において、NANDメモリセルのアレイは、3D NANDメモリストリングまたは2D NANDメモリセルのうちの少なくとも1つを含む。
いくつかの実施形態において、第2の半導体構造体は、第2の基板と、第2の基板の上のDRAMセルのアレイと、DRAMセルのアレイの上方の第2のボンディング層とを含む。
いくつかの実施形態において、第3の半導体構造体は、第1のボンディング層および第2のボンディング層の上方の第3のボンディング層と、第3のボンディング層の上方のプログラマブルロジックデバイスと、プログラマブルロジックデバイスの上方にあり、プログラマブルロジックデバイスと接触している第3の半導体層とを含む。
いくつかの実施形態において、半導体構造体は、第3の半導体層の上方にパッドアウト相互接続層をさらに含む。いくつかの実施形態において、第3の半導体層は、単結晶シリコンを含む。
いくつかの実施形態において、第1の半導体構造体は、NANDメモリセルのアレイの周辺回路をさらに含む。いくつかの実施形態において、第2の半導体構造体は、DRAMセルのアレイの周辺回路をさらに含む。いくつかの実施形態において、第3の半導体構造体は、NANDメモリセルのアレイまたはDRAMセルのアレイのうちの少なくとも1つの周辺回路をさらに含む。
いくつかの実施形態において、第1の半導体構造体は、垂直方向に第1のボンディング層とNANDメモリセルのアレイとの間に第1の相互接続層を含み、第2の半導体構造体は、垂直方向に第2のボンディング層とDRAMセルのアレイとの間に第2の相互接続層を含み、第3の半導体構造体は、垂直方向に第3のボンディング層とプログラマブルロジックデバイスとの間に第3の相互接続層を含む。
いくつかの実施形態において、プログラマブルロジックデバイスは、第1および第3の相互接続層、第1のボンディング接触部、ならびに第1のセットの第3のボンディング接触部を通して、NANDメモリセルのアレイに電気的に接続されており、プログラマブルロジックデバイスは、第2および第3の相互接続層、第2のボンディング接触部、ならびに第2のセットの第3のボンディング接触部を通して、DRAMセルのアレイに電気的に接続されている。
いくつかの実施形態において、NANDメモリセルのアレイは、第1の、第2の、および第3の相互接続層、ならびに、第1の、第2の、および第3のボンディング接触部を通して、DRAMセルのアレイに電気的に接続されている。
いくつかの実施形態において、プログラマブルロジックデバイスは、複数のプログラマブルロジックブロックを含む。
いくつかの実施形態において、第1の、第2の、および第3の半導体構造体のそれぞれは、スタティックランダムアクセスメモリ(SRAM)キャッシュを含まない。
本開示の別の態様によれば、半導体デバイスを形成するための方法が開示されている。複数の第1の半導体構造体が、第1のウエハの上に形成される。第1の半導体構造体のうちの少なくとも1つは、NANDメモリセルのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む。第1のウエハは、複数の第1のダイへとダイシングされ、第1のダイのうちの少なくとも1つが、第1の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第2の半導体構造体が、第2のウエハの上に形成される。第2の半導体構造体のうちの少なくとも1つは、DRAMセルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む。第2のウエハは、複数の第2のダイへとダイシングされ、第2のダイのうちの少なくとも1つが、第2の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第3の半導体構造体が、第3のウエハの上に形成される。第3の半導体構造体のうちの少なくとも1つは、プログラマブルロジックデバイス、および、複数の第3のボンディング接触部を含む第3のボンディング層を含む。第3のウエハは、複数の第3のダイへとダイシングされ、第3のダイのうちの少なくとも1つが、第3の半導体構造体のうちの少なくとも1つを含むようになっている。第3の半導体構造体が、第1の半導体構造体および第2の半導体構造体のそれぞれに結合されるように、第3のダイならびに第1のダイおよび第2のダイのそれぞれが、向かい合った様式で結合される。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触している。第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。
いくつかの実施形態において、複数の第1の半導体構造体を形成するために、NANDメモリセルのアレイが、第1のウエハの上に形成され、第1の相互接続層が、NANDメモリセルのアレイの上方に形成され、第1のボンディング層が、第1の相互接続層の上方に形成される。いくつかの実施形態において、複数の第1の半導体構造体を形成するために、NANDメモリセルのアレイの周辺回路が、第1のウエハの上に形成される。
いくつかの実施形態において、複数の第2の半導体構造体を形成するために、DRAMセルのアレイが、第2のウエハの上に形成され、第2の相互接続層が、DRAMセルのアレイの上方に形成され、第2のボンディング層が、第2の相互接続層の上方に形成される。いくつかの実施形態において、複数の第2の半導体構造体を形成するために、DRAMセルのアレイの周辺回路が、第2のウエハの上に形成される。
いくつかの実施形態において、複数の第3の半導体構造体を形成するために、プログラマブルロジックデバイスが、第3のウエハの上に形成され、第3の相互接続層が、プログラマブルロジックデバイスの上方に形成され、第3のボンディング層が、第3の相互接続層の上方に形成される。いくつかの実施形態において、複数の第3の半導体構造体を形成するために、NANDメモリセルのアレイまたはDRAMセルのアレイのうちの少なくとも1つの周辺回路が、第3のウエハの上に形成される。
いくつかの実施形態において、第3の半導体構造体は、結合するステップの後に、第1の半導体構造体および第2の半導体構造体の上方にある。いくつかの実施形態において、第3のウエハは、結合するステップの後に半導体層を形成するために薄くされ、パッドアウト相互接続層が、半導体層の上方に形成される。
いくつかの実施形態において、第3の半導体構造体は、結合するステップの後に、第1の半導体構造体および第2の半導体構造体の下方にある。いくつかの実施形態において、第1のウエハおよび第2のウエハは、結合するステップの後に、第1の半導体層および第2の半導体層をそれぞれ形成するために薄くされ、第1のパッドアウト相互接続層および第2のパッドアウト相互接続層が、第1の半導体層および第2の半導体層の上方にそれぞれ形成される。
いくつかの実施形態において、結合するステップは、ハイブリッドボンディングを含む。
本開示のさらに別の態様によれば、半導体デバイスを形成するための方法が開示されている。複数の第1の半導体構造体が、第1のウエハの上に形成される。第1の半導体構造体のうちの少なくとも1つは、NANDメモリセルのアレイと、複数の第1のボンディング接触部を含む第1のボンディング層とを含む。第1のウエハは、複数の第1のダイへとダイシングされ、第1のダイのうちの少なくとも1つが、第1の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第2の半導体構造体が、第2のウエハの上に形成される。第2の半導体構造体のうちの少なくとも1つは、DRAMセルのアレイと、複数の第2のボンディング接触部を含む第2のボンディング層とを含む。第2のウエハは、複数の第2のダイへとダイシングされ、第2のダイのうちの少なくとも1つが、第2の半導体構造体のうちの少なくとも1つを含むようになっている。複数の第3の半導体構造体が、第3のウエハの上に形成される。第3の半導体構造体のうちの少なくとも1つは、プログラマブルロジックデバイス、および、複数の第3のボンディング接触部を含む第3のボンディング層を含む。少なくとも1つの第3の半導体構造体が、第1の半導体構造体および第2の半導体構造体のそれぞれに結合されるように、結合された構造体を形成するために第3のウエハならびに少なくとも1つの第1のダイおよび少なくとも1つの第2のダイのそれぞれが、向かい合った様式で結合される。第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの第3のボンディング接触部と接触している。第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの第3のボンディング接触部と接触している。結合された構造体は、複数のダイへとダイシングされる。ダイのうちの少なくとも1つは、結合された第1の、第2の、および第3の半導体構造体を含む。
いくつかの実施形態において、複数の第1の半導体構造体を形成するために、NANDメモリセルのアレイが、第1のウエハの上に形成され、第1の相互接続層が、NANDメモリセルのアレイの上方に形成され、第1のボンディング層が、第1の相互接続層の上方に形成される。いくつかの実施形態において、複数の第1の半導体構造体を形成するために、NANDメモリセルのアレイの周辺回路が、第1のウエハの上に形成される。
いくつかの実施形態において、複数の第2の半導体構造体を形成するために、DRAMセルのアレイが、第2のウエハの上に形成され、第2の相互接続層が、DRAMセルのアレイの上方に形成され、第2のボンディング層が、第2の相互接続層の上方に形成される。いくつかの実施形態において、複数の第2の半導体構造体を形成するために、DRAMセルのアレイの周辺回路が、第2のウエハの上に形成される。
いくつかの実施形態において、複数の第3の半導体構造体を形成するために、プログラマブルロジックデバイスが、第3のウエハの上に形成され、第3の相互接続層が、プログラマブルロジックデバイスの上方に形成され、第3のボンディング層が、第3の相互接続層の上方に形成される。いくつかの実施形態において、複数の第3の半導体構造体を形成するために、NANDメモリセルのアレイまたはDRAMセルのアレイのうちの少なくとも1つの周辺回路が、第3のウエハの上に形成される。
いくつかの実施形態において、第3の半導体構造体は、結合するステップの後に、第1の半導体構造体および第2の半導体構造体の上方にある。いくつかの実施形態において、第3のウエハは、結合するステップの後に半導体層を形成するために薄くされ、パッドアウト相互接続層が、半導体層の上方に形成される。
いくつかの実施形態において、第3の半導体構造体は、結合するステップの後に、第1の半導体構造体および第2の半導体構造体の下方にある。いくつかの実施形態において、第1のウエハおよび第2のウエハは、結合するステップの後に、第1の半導体層および第2の半導体層をそれぞれ形成するために薄くされ、第1のパッドアウト相互接続層および第2のパッドアウト相互接続層が、第1の半導体層および第2の半導体層の上方にそれぞれ形成される。
いくつかの実施形態において、結合するステップは、ハイブリッドボンディングを含む。
本開示のさらなる別の態様によれば、半導体デバイスは、プログラマブルロジックブロックのアレイを含むロジックダイと、複数のタイプのメモリを含むメモリブロックのアレイを含むメモリダイと、ロジックダイとメモリダイとの間のボンディングインターフェースであって、プログラマブルロジックブロックのアレイが、ボンディングインターフェースを通してメモリブロックのアレイに電気的に接続されるようになっている、ボンディングインターフェースとを含む。
いくつかの実施形態において、複数のタイプのメモリは、NANDメモリ、DRAM、およびPCMを含む。
いくつかの実施形態において、複数のタイプのメモリは、SRAMを含まない。
いくつかの実施形態において、ロジックダイまたはメモリダイのうちの少なくとも1つは、複数の論理回路をさらに含む。
いくつかの実施形態において、ロジックダイのプログラマブルロジックブロックのアレイ、および、メモリダイのメモリブロックのアレイは、SRAMキャッシュを共有しない。
したがって、特定の実施形態の先述の説明は、他の人が、当業者の範囲内の知識を適用することによって、本開示の一般的な概念から逸脱することなく、過度の実験なしに、さまざまな用途に関して、そのような特定の実施形態を容易に修正および/または適合させることができる本開示の一般的な性質を明らかにすることとなる。したがって、そのような適合および修正は、本明細書に提示されている教示および指針に基づいて、開示されている実施形態の均等物の意味および範囲の中にあることを意図している。本明細書での言い回しまたは専門用語は、説明の目的のためのものであり、限定ではなく、本明細書の専門用語または言い回しは、教示および指針に照らして当業者によって解釈されることとなるようになっているということが理解されるべきである。
本開示の実施形態は、特定の機能およびその関係の実装を図示する機能的なビルディングブロックの助けを借りて上記に説明されてきた。これらの機能的なビルディングブロックの境界は、説明の便宜上、本明細書では任意に定義されている。特定の機能およびその関係が適当に実施される限りにおいて、代替的な境界が定義され得る。
概要および要約のセクションは、本発明者によって企図される本開示の1つまたは複数の(しかし、すべてではない)例示的な実施形態を記載している可能性があり、したがって、決して本開示および添付の特許請求の範囲を限定することを意図していない。
本開示の幅および範囲は、上記に説明された例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびその均等物のみにしたがって定義されるべきである。
100 システム
102 ハイブリッドコントローラ
104 DRAM
106 NANDメモリ
108 プロセッサ
200 半導体デバイス
202 MCP
204 導体端子、ピン
206 回路基板
210 DRAMダイ
212 NANDダイ
300 半導体デバイス
301 ロジックダイ
302 メモリダイ
303 プログラマブルロジックブロック
304 メモリブロック
305 I/Oインターフェース回路、論理回路
306 I/Oインターフェース回路、論理回路
307 クロック管理回路、論理回路
308 クロック管理回路、論理回路
310 ボンディングインターフェース
400 半導体デバイス
401 半導体デバイス
402 第1の半導体構造体
404 第2の半導体構造体
406 第3の半導体構造体
408 第1のボンディングインターフェース
410 第2のボンディングインターフェース
502 プログラマブルロジックデバイス(PLD)
503 半導体構造体
504 プログラマブルロジックブロック
505 半導体構造体
506 NANDメモリ
508 ワードラインドライバ
510 ページバッファ
512 DRAM
514 行デコーダ
516 列デコーダ
518 I/Oブロック
603 半導体構造体
605 半導体構造体
700 半導体デバイス
701 半導体デバイス
702 第1の半導体構造体
703 第1の半導体構造体
704 第2の半導体構造体
705 第2の半導体構造体
706 第3の半導体構造体
707 第3の半導体構造体
708 第1のボンディングインターフェース
709 第1のボンディングインターフェース
710 第2のボンディングインターフェース
711 第2のボンディングインターフェース
712 基板
713 基板
714 デバイス層
715 メモリスタック
716 プログラマブルロジックデバイス
717 3D NANDメモリストリング
719 プラグ
720 周辺回路
721 プラグ
722 トランジスタ
723 相互接続層
724 相互接続層
725 ボンディング層
726 ボンディング層
727 ボンディング接触部
728 ボンディング接触部
729 基板
730 ボンディング層
731 DRAMセル
732 ボンディング接触部
733 DRAM選択トランジスタ
734 相互接続層
735 キャパシタ
736 3D NANDメモリストリング
737 ビットライン
738 メモリスタック
739 共通のプレート
740 プラグ
741 相互接続層
742 半導体層
743 ボンディング層
744 パッドアウト相互接続層
745 ボンディング接触部
746 接触パッド
747 ボンディング層
748 接触部
749 ボンディング接触部
750 ボンディング層
751 相互接続層
752 ボンディング接触部
753 デバイス層
754 相互接続層
755 プログラマブルロジックデバイス
756 DRAMセル
758 DRAM選択トランジスタ
759 周辺回路
760 キャパシタ
761 トランジスタ
762 半導体層
763 半導体層
764 ビットライン
765 パッドアウト相互接続層
766 共通のプレート
767 接触パッド
768 パッドアウト相互接続層
769 接触部
770 接触パッド
772 接触部
774 プラグ
802 シリコン基板
804 トランジスタ
806 デバイス層
808 プログラマブルロジックデバイス
812 周辺回路
814 相互接続層
816 ボンディング層
818 ボンディング接触部
902 シリコン基板
904 メモリスタック
906 導体層
908 誘電体層
910 3D NANDメモリストリング
912 プラグ
914 メモリフィルム
916 半導体層
918 プラグ
920 相互接続層
922 ボンディング層
924 ボンディング接触部
1002 シリコン基板
1004 トランジスタ、DRAM選択トランジスタ
1006 キャパシタ
1007 ビットライン
1008 DRAMセル
1009 共通のプレート
1012 DRAMセル
1014 相互接続層
1016 ボンディング層
1018 ボンディング接触部
1102 第1のボンディングインターフェース
1104 第2のボンディングインターフェース
1106 第1の半導体層
1108 第2の半導体層
1110 第1のパッドアウト相互接続層
1112 第2のパッドアウト相互接続層
1114 パッド接触部
1116 パッド接触部
1118 接触部
1120 接触部
1202 第1のウエハ
1204 第1の半導体構造体
1206 第2のウエハ
1208 第2の半導体構造体
1210 第3のウエハ
1212 第3の半導体構造体
1214 ダイ
1216 ダイ
1218 ダイ
1220 第1のボンディングインターフェース
1222 第2のボンディングインターフェース
1302 第1のウエハ
1304 第1の半導体構造体
1306 第2のウエハ
1308 第2の半導体構造体
1310 第3のウエハ
1312 第3の半導体構造体
1314 ダイ
1316 ダイ
1318 第1のボンディングインターフェース
1320 第2のボンディングインターフェース
1322 ダイ
1400 半導体構造体
1402 基板
1403 2D NANDメモリセル
1405 ソース/ドレイン
1407 選択トランジスタ
1409 フローティングゲート
1411 制御ゲート
1413 相互接続層
1415 ボンディング層
1417 ボンディング接触部
1500 半導体構造体
1501 半導体構造体
1502 基板
1503 基板
1504 NANDメモリ
1505 半導体層
1506 周辺回路
1507 周辺回路
1508 トランジスタ
1509 トランジスタ
1510 相互接続層
1511 相互接続層
1512 ボンディング層
1514 ボンディング接触部

Claims (53)

  1. 半導体デバイスであって、
    NANDメモリセルのアレイ、および、複数の第1のボンディング接触部を含む第1のボンディング層を含む、第1の半導体構造体と、
    ダイナミックランダムアクセスメモリ(DRAM)セルのアレイ、および、複数の第2のボンディング接触部を含む第2のボンディング層を含む、第2の半導体構造体と、
    プログラマブルロジックデバイス、および、複数の第3のボンディング接触部を含む第3のボンディング層を含む、第3の半導体構造体と、
    前記第1のボンディング層と前記第3のボンディング層との間の第1のボンディングインターフェースであって、前記第1のボンディング接触部は、前記第1のボンディングインターフェースにおいて、第1のセットの前記第3のボンディング接触部と接触している、第1のボンディングインターフェースと、
    前記第2のボンディング層と前記第3のボンディング層との間の第2のボンディングインターフェースであって、前記第2のボンディング接触部は、前記第2のボンディングインターフェースにおいて、第2のセットの前記第3のボンディング接触部と接触している、第2のボンディングインターフェースと
    を含み、
    前記第1のボンディングインターフェースおよび前記第2のボンディングインターフェースは、同じ平面にある、半導体デバイス。
  2. 前記第3の半導体構造体は、
    基板と、
    前記基板の上の前記プログラマブルロジックデバイスと、
    前記プログラマブルロジックデバイスの上方の前記第3のボンディング層と
    を含む、請求項1に記載の半導体デバイス。
  3. 前記第1の半導体構造体は、
    前記第3のボンディング層の上方の前記第1のボンディング層と、
    前記第1のボンディング層の上方の前記NANDメモリセルのアレイと、
    前記NANDメモリセルのアレイの上方にあり、前記NANDメモリセルのアレイと接触している第1の半導体層と
    を含む、請求項2に記載の半導体デバイス。
  4. 前記NANDメモリセルのアレイは、3次元(3D)NANDメモリストリングまたは2次元(2D)NANDメモリセルのうちの少なくとも1つを含む、請求項3に記載の半導体デバイス。
  5. 前記第1の半導体層の上方に第1のパッドアウト相互接続層をさらに含む、請求項3または4に記載の半導体デバイス。
  6. 前記第1の半導体層は、単結晶シリコンを含む、請求項3から5のいずれか一項に記載の半導体デバイス。
  7. 前記第1の半導体層は、ポリシリコンを含む、請求項3から5のいずれか一項に記載の半導体デバイス。
  8. 前記第2の半導体構造体は、
    前記第3のボンディング層の上方の前記第2のボンディング層と、
    前記第2のボンディング層の上方の前記DRAMセルのアレイと、
    前記DRAMセルのアレイの上方にあり、前記DRAMセルのアレイと接触している第2の半導体層と
    を含む、請求項2から7のいずれか一項に記載の半導体デバイス。
  9. 前記第2の半導体層の上方に第2のパッドアウト相互接続層をさらに含む、請求項8に記載の半導体デバイス。
  10. 前記第2の半導体層は、単結晶シリコンを含む、請求項8または9に記載の半導体デバイス。
  11. 前記第1の半導体構造体は、
    第1の基板と、
    前記第1の基板の上の前記NANDメモリセルのアレイと、
    前記NANDメモリセルのアレイの上方の前記第1のボンディング層と
    を含む、請求項1に記載の半導体デバイス。
  12. 前記NANDメモリセルのアレイは、3D NANDメモリストリングまたは2D NANDメモリセルのうちの少なくとも1つを含む、請求項11に記載の半導体デバイス。
  13. 前記第2の半導体構造体は、
    第2の基板と、
    前記第2の基板の上の前記DRAMセルのアレイと、
    前記DRAMセルのアレイの上方の前記第2のボンディング層と
    を含む、請求項11または12に記載の半導体デバイス。
  14. 前記第3の半導体構造体は、
    前記第1のボンディング層および前記第2のボンディング層の上方の前記第3のボンディング層と、
    前記第3のボンディング層の上方の前記プログラマブルロジックデバイスと、
    前記プログラマブルロジックデバイスの上方にあり、前記プログラマブルロジックデバイスと接触している第3の半導体層と
    を含む、請求項11から13のいずれか一項に記載の半導体デバイス。
  15. 前記第3の半導体層の上方にパッドアウト相互接続層をさらに含む、請求項14に記載の半導体デバイス。
  16. 前記第3の半導体層は、単結晶シリコンを含む、請求項14または15に記載の半導体デバイス。
  17. 前記第1の半導体構造体は、前記NANDメモリセルのアレイの周辺回路をさらに含む、請求項1から16のいずれか一項に記載の半導体デバイス。
  18. 前記第2の半導体構造体は、前記DRAMセルのアレイの周辺回路をさらに含む、請求項1から17のいずれか一項に記載の半導体デバイス。
  19. 前記第3の半導体構造体は、前記NANDメモリセルのアレイまたは前記DRAMセルのアレイのうちの少なくとも1つの周辺回路をさらに含む、請求項1から18のいずれか一項に記載の半導体デバイス。
  20. 前記第1の半導体構造体は、垂直方向に前記第1のボンディング層と前記NANDメモリセルのアレイとの間に第1の相互接続層を含み、
    前記第2の半導体構造体は、垂直方向に前記第2のボンディング層と前記DRAMセルのアレイとの間に第2の相互接続層を含み、
    前記第3の半導体構造体は、垂直方向に前記第3のボンディング層と前記プログラマブルロジックデバイスとの間に第3の相互接続層を含む、請求項1から19のいずれか一項に記載の半導体デバイス。
  21. 前記プログラマブルロジックデバイスは、前記第1および第3の相互接続層、前記第1のボンディング接触部、ならびに前記第1のセットの前記第3のボンディング接触部を通して、前記NANDメモリセルのアレイに電気的に接続されており、
    前記プログラマブルロジックデバイスは、前記第2および第3の相互接続層、前記第2のボンディング接触部、ならびに前記第2のセットの前記第3のボンディング接触部を通して、前記DRAMセルのアレイに電気的に接続されている、請求項20に記載の半導体デバイス。
  22. 前記NANDメモリセルのアレイは、前記第1の、第2の、および第3の相互接続層、ならびに、前記第1の、第2の、および第3のボンディング接触部を通して、前記DRAMセルのアレイに電気的に接続されている、請求項21に記載の半導体デバイス。
  23. 前記プログラマブルロジックデバイスは、複数のプログラマブルロジックブロックを含む、請求項1から22のいずれか一項に記載の半導体デバイス。
  24. 前記第1の、第2の、および第3の半導体構造体のそれぞれは、スタティックランダムアクセスメモリ(SRAM)キャッシュを含まない、請求項1から22のいずれか一項に記載の半導体デバイス。
  25. 半導体デバイスを形成するための方法であって、
    第1のウエハの上に複数の第1の半導体構造体を形成するステップであって、前記第1の半導体構造体のうちの少なくとも1つは、NANDメモリセルのアレイ、および、複数の第1のボンディング接触部を含む第1のボンディング層を含む、ステップと、
    前記第1のウエハを複数の第1のダイへとダイシングするステップであって、前記第1のダイのうちの少なくとも1つが、前記第1の半導体構造体のうちの前記少なくとも1つを含むようになっている、ステップと、
    第2のウエハの上に複数の第2の半導体構造体を形成するステップであって、前記第2の半導体構造体のうちの少なくとも1つは、ダイナミックランダムアクセスメモリ(DRAM)セルのアレイ、および、複数の第2のボンディング接触部を含む第2のボンディング層を含む、ステップと、
    前記第2のウエハを複数の第2のダイへとダイシングするステップであって、前記第2のダイのうちの少なくとも1つが、前記第2の半導体構造体のうちの前記少なくとも1つを含むようになっている、ステップと、
    第3のウエハの上に複数の第3の半導体構造体を形成するステップであって、前記第3の半導体構造体のうちの少なくとも1つは、プログラマブルロジックデバイス、および、複数の第3のボンディング接触部を含む第3のボンディング層を含む、ステップと、
    前記第3のウエハを複数の第3のダイへとダイシングするステップであって、前記第3のダイのうちの少なくとも1つが、前記第3の半導体構造体のうちの前記少なくとも1つを含むようになっている、ステップと、
    前記第3の半導体構造体が、前記第1の半導体構造体および前記第2の半導体構造体のそれぞれに結合されるように、(i)前記第3のダイならびに(ii)前記第1のダイおよび前記第2のダイのそれぞれを向かい合った様式で結合するステップであって、前記第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの前記第3のボンディング接触部と接触しており、前記第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの前記第3のボンディング接触部と接触している、ステップと
    を含む、方法。
  26. 前記複数の第1の半導体構造体を形成するステップは、
    前記第1のウエハの上に前記NANDメモリセルのアレイを形成するステップと、
    前記NANDメモリセルのアレイの上方に第1の相互接続層を形成するステップと、
    前記第1の相互接続層の上方に前記第1のボンディング層を形成するステップと
    を含む、請求項25に記載の方法。
  27. 前記複数の第1の半導体構造体を形成するステップは、前記第1のウエハの上に前記NANDメモリセルのアレイの周辺回路を形成するステップをさらに含む、請求項26に記載の方法。
  28. 前記複数の第2の半導体構造体を形成するステップは、
    前記第2のウエハの上に前記DRAMセルのアレイを形成するステップと、
    前記DRAMセルのアレイの上方に第2の相互接続層を形成するステップと、
    前記第2の相互接続層の上方に前記第2のボンディング層を形成するステップと
    を含む、請求項25から27のいずれか一項に記載の方法。
  29. 前記複数の第2の半導体構造体を形成するステップは、前記第2のウエハの上に前記DRAMセルのアレイの周辺回路を形成するステップをさらに含む、請求項28に記載の方法。
  30. 前記複数の第3の半導体構造体を形成するステップは、
    前記第3のウエハの上に前記プログラマブルロジックデバイスを形成するステップと、
    前記プログラマブルロジックデバイスの上方に第3の相互接続層を形成するステップと、
    前記第3の相互接続層の上方に前記第3のボンディング層を形成するステップと
    を含む、請求項25から29のいずれか一項に記載の方法。
  31. 前記複数の第3の半導体構造体を形成するステップは、前記第3のウエハの上に前記NANDメモリセルのアレイまたは前記DRAMセルのアレイのうちの少なくとも1つの周辺回路を形成するステップをさらに含む、請求項30に記載の方法。
  32. 前記第3の半導体構造体は、前記結合するステップの後に、前記第1の半導体構造体および前記第2の半導体構造体の上方にある、請求項25から31のいずれか一項に記載の方法。
  33. 前記結合するステップの後に半導体層を形成するために前記第3のウエハを薄くするステップと、
    前記半導体層の上方にパッドアウト相互接続層を形成するステップと
    をさらに含む、請求項32に記載の方法。
  34. 前記第3の半導体構造体は、前記結合するステップの後に、前記第1の半導体構造体および前記第2の半導体構造体の下方にある、請求項25から31のいずれか一項に記載の方法。
  35. 前記結合するステップの後に、第1の半導体層および第2の半導体層をそれぞれ形成するために、前記第1のウエハおよび前記第2のウエハを薄くするステップと、
    前記第1の半導体層および前記第2の半導体層の上方にそれぞれ第1のパッドアウト相互接続層および第2のパッドアウト相互接続層を形成するステップと
    をさらに含む、請求項34に記載の方法。
  36. 前記結合するステップは、ハイブリッドボンディングを含む、請求項25から35のいずれか一項に記載の方法。
  37. 半導体デバイスを形成するための方法であって、
    第1のウエハの上に複数の第1の半導体構造体を形成するステップであって、前記第1の半導体構造体のうちの少なくとも1つは、NANDメモリセルのアレイ、および、複数の第1のボンディング接触部を含む第1のボンディング層を含む、ステップと、
    前記第1のウエハを複数の第1のダイへとダイシングするステップであって、前記第1のダイのうちの少なくとも1つが、前記第1の半導体構造体のうちの前記少なくとも1つを含むようになっている、ステップと、
    第2のウエハの上に複数の第2の半導体構造体を形成するステップであって、前記第2の半導体構造体のうちの少なくとも1つは、ダイナミックランダムアクセスメモリ(DRAM)セルのアレイ、および、複数の第2のボンディング接触部を含む第2のボンディング層を含む、ステップと、
    前記第2のウエハを複数の第2のダイへとダイシングするステップであって、前記第2のダイのうちの少なくとも1つが、前記第2の半導体構造体のうちの前記少なくとも1つを含むようになっている、ステップと、
    第3のウエハの上に複数の第3の半導体構造体を形成するステップであって、前記第3の半導体構造体のうちの少なくとも1つは、プログラマブルロジックデバイス、および、複数の第3のボンディング接触部を含む第3のボンディング層を含む、ステップと、
    前記少なくとも1つの第3の半導体構造体が、前記第1の半導体構造体および前記第2の半導体構造体のそれぞれに結合されるように、結合された構造体を形成するために(i)前記第3のウエハならびに(ii)前記少なくとも1つの第1のダイおよび前記少なくとも1つの第2のダイのそれぞれを向かい合った様式で結合するステップであって、前記第1のボンディング接触部は、第1のボンディングインターフェースにおいて、第1のセットの前記第3のボンディング接触部と接触しており、前記第2のボンディング接触部は、第2のボンディングインターフェースにおいて、第2のセットの前記第3のボンディング接触部と接触している、ステップと、
    前記結合された構造体を複数のダイへとダイシングするステップであって、前記ダイのうちの少なくとも1つは、結合された前記第1の、第2の、および第3の半導体構造体を含む、ステップと
    を含む、方法。
  38. 前記複数の第1の半導体構造体を形成するステップは、
    前記第1のウエハの上に前記NANDメモリセルのアレイを形成するステップと、
    前記NANDメモリセルのアレイの上方に第1の相互接続層を形成するステップと、
    前記第1の相互接続層の上方に前記第1のボンディング層を形成するステップと
    を含む、請求項37に記載の方法。
  39. 前記複数の第1の半導体構造体を形成するステップは、前記第1のウエハの上に前記NANDメモリセルのアレイの周辺回路を形成するステップをさらに含む、請求項38に記載の方法。
  40. 前記複数の第2の半導体構造体を形成するステップは、
    前記第2のウエハの上に前記DRAMセルのアレイを形成するステップと、
    前記DRAMセルのアレイの上方に第2の相互接続層を形成するステップと、
    前記第2の相互接続層の上方に前記第2のボンディング層を形成するステップと
    を含む、請求項37から39のいずれか一項に記載の方法。
  41. 前記複数の第2の半導体構造体を形成するステップは、前記第2のウエハの上に前記DRAMセルのアレイの周辺回路を形成するステップをさらに含む、請求項40に記載の方法。
  42. 前記複数の第3の半導体構造体を形成するステップは、
    前記第3のウエハの上に前記プログラマブルロジックデバイスを形成するステップと、
    前記プログラマブルロジックデバイスの上方に第3の相互接続層を形成するステップと、
    前記第3の相互接続層の上方に前記第3のボンディング層を形成するステップと
    を含む、請求項37から41のいずれか一項に記載の方法。
  43. 前記複数の第3の半導体構造体を形成するステップは、前記第3のウエハの上に前記NANDメモリセルのアレイまたは前記DRAMセルのアレイのうちの少なくとも1つの周辺回路を形成するステップをさらに含む、請求項42に記載の方法。
  44. 前記第3の半導体構造体は、前記結合するステップの後に、前記第1の半導体構造体および前記第2の半導体構造体の上方にある、請求項37から43のいずれか一項に記載の方法。
  45. 前記結合するステップの後に半導体層を形成するために前記第3のウエハを薄くするステップと、
    前記半導体層の上方にパッドアウト相互接続層を形成するステップと
    をさらに含む、請求項44に記載の方法。
  46. 前記第3の半導体構造体は、前記結合するステップの後に、前記第1の半導体構造体および前記第2の半導体構造体の下方にある、請求項37から43のいずれか一項に記載の方法。
  47. 前記結合するステップの後に、第1の半導体層および第2の半導体層をそれぞれ形成するために、前記第1のウエハおよび前記第2のウエハを薄くするステップと、
    前記第1の半導体層および前記第2の半導体層の上方にそれぞれ第1のパッドアウト相互接続層および第2のパッドアウト相互接続層を形成するステップと
    をさらに含む、請求項46に記載の方法。
  48. 前記結合するステップは、ハイブリッドボンディングを含む、請求項37から47のいずれか一項に記載の方法。
  49. 半導体デバイスであって、
    プログラマブルロジックブロックのアレイを含むロジックダイと、
    複数のタイプのメモリを含むメモリブロックのアレイを含むメモリダイと、
    前記ロジックダイと前記メモリダイとの間のボンディングインターフェースであって、前記プログラマブルロジックブロックのアレイが、前記ボンディングインターフェースを通して前記メモリブロックのアレイに電気的に接続されるようになっている、ボンディングインターフェースと
    を含む、半導体デバイス。
  50. 前記複数のタイプのメモリは、NANDメモリ、ダイナミックランダムアクセスメモリ(DRAM)、および相変化メモリ(PCM)を含む、請求項49に記載の半導体デバイス。
  51. 前記複数のタイプのメモリは、スタティックランダムアクセスメモリ(SRAM)を含まない、請求項49または50に記載の半導体デバイス。
  52. 前記ロジックダイまたは前記メモリダイのうちの少なくとも1つは、複数の論理回路をさらに含む、請求項49から51のいずれか一項に記載の半導体デバイス。
  53. 前記ロジックダイの前記プログラマブルロジックブロックのアレイ、および、前記メモリダイの前記メモリブロックのアレイは、SRAMキャッシュを共有しない、請求項49から52のいずれか一項に記載の半導体デバイス。
JP2021545441A 2019-04-15 2019-10-25 プログラマブルロジックデバイスおよび異種メモリを有するユニファイド半導体デバイス、および、それを形成するための方法 Pending JP2022519613A (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
PCT/CN2019/082607 WO2020210928A1 (en) 2019-04-15 2019-04-15 Integration of three-dimensional nand memory devices with multiple functional chips
CNPCT/CN2019/082607 2019-04-15
PCT/CN2019/085237 WO2020220280A1 (en) 2019-04-30 2019-04-30 Three-dimensional memory device with embedded dynamic random-access memory
CNPCT/CN2019/085237 2019-04-30
CNPCT/CN2019/097442 2019-07-24
PCT/CN2019/097442 WO2020220484A1 (en) 2019-04-30 2019-07-24 Bonded unified semiconductor chips and fabrication and operation methods thereof
CNPCT/CN2019/105292 2019-09-11
PCT/CN2019/105292 WO2020211272A1 (en) 2019-04-15 2019-09-11 Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
PCT/CN2019/113238 WO2020211322A1 (en) 2019-04-15 2019-10-25 Unified semiconductor devices having programmable logic device and heterogeneous memories and methods for forming the same

Publications (1)

Publication Number Publication Date
JP2022519613A true JP2022519613A (ja) 2022-03-24

Family

ID=77040125

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2021545728A Active JP7197719B2 (ja) 2019-04-15 2019-09-11 半導体デバイス及び方法
JP2021545441A Pending JP2022519613A (ja) 2019-04-15 2019-10-25 プログラマブルロジックデバイスおよび異種メモリを有するユニファイド半導体デバイス、および、それを形成するための方法
JP2022200466A Pending JP2023036733A (ja) 2019-04-15 2022-12-15 半導体デバイス及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021545728A Active JP7197719B2 (ja) 2019-04-15 2019-09-11 半導体デバイス及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022200466A Pending JP2023036733A (ja) 2019-04-15 2022-12-15 半導体デバイス及び方法

Country Status (6)

Country Link
US (2) US11694993B2 (ja)
EP (2) EP3891806A4 (ja)
JP (3) JP7197719B2 (ja)
KR (3) KR102639431B1 (ja)
CN (1) CN112614831B (ja)
TW (2) TWI741396B (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892269B2 (en) * 2014-09-12 2021-01-12 Toshiba Memory Corporation Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit
US20210397363A1 (en) * 2020-06-17 2021-12-23 Micron Technology, Inc. Operational monitoring for memory devices
US11444068B2 (en) * 2020-07-14 2022-09-13 Qualcomm Incorporated Three-dimensional (3D) integrated circuit device having a backside power delivery network
US11704271B2 (en) * 2020-08-20 2023-07-18 Alibaba Group Holding Limited Scalable system-in-package architectures
US11688681B2 (en) * 2020-08-24 2023-06-27 Ap Memory Technology Corporation DRAM chiplet structure and method for manufacturing the same
KR20220034273A (ko) * 2020-09-10 2022-03-18 삼성전자주식회사 3차원 반도체 메모리 장치 및 이를 포함하는 전자 시스템
US11626359B2 (en) * 2021-04-27 2023-04-11 Qualcomm Incorporated Three-dimensional integrated circuit (3D IC) power distribution network (PDN) capacitor integration
US20220415841A1 (en) * 2021-06-23 2022-12-29 Intel Corporation Vertically stacked and bonded memory arrays
WO2023272592A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
CN115769693A (zh) * 2021-06-30 2023-03-07 长江存储科技有限责任公司 三维存储器器件及其形成方法
CN115735424A (zh) 2021-06-30 2023-03-03 长江存储科技有限责任公司 三维存储器器件及其形成方法
TWI803312B (zh) * 2021-12-23 2023-05-21 南亞科技股份有限公司 具有多堆疊載體結構之半導體元件

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01157561A (ja) * 1987-08-17 1989-06-20 Lsi Logic Corp マルチプレーンチップ組立体
JPH11168185A (ja) * 1997-12-03 1999-06-22 Rohm Co Ltd 積層基板体および半導体装置
JP2003242774A (ja) * 2002-02-19 2003-08-29 Matsushita Electric Ind Co Ltd 半導体記憶装置及びマルチチップモジュール
JP2010080801A (ja) * 2008-09-29 2010-04-08 Hitachi Ltd 半導体装置
JP2012089566A (ja) * 2010-10-15 2012-05-10 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
JP2013503488A (ja) * 2009-08-26 2013-01-31 クアルコム,インコーポレイテッド 異なる半導体ダイおよび/またはウエハーのための半導体ウエハー間接合
JP2015079960A (ja) * 2013-10-15 2015-04-23 三星電子株式会社Samsung Electronics Co.,Ltd. 集積回路素子及びその製造方法
JP2016062901A (ja) * 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
WO2016143553A1 (ja) * 2015-03-11 2016-09-15 ソニー株式会社 固体撮像装置および製造方法、半導体ウェハ、並びに電子機器
US20180046908A1 (en) * 2016-08-15 2018-02-15 International Business Machines Corporation High memory bandwidth neuromorphic computing system
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1070243A (ja) 1996-05-30 1998-03-10 Toshiba Corp 半導体集積回路装置およびその検査方法およびその検査装置
JP4212171B2 (ja) * 1999-01-28 2009-01-21 株式会社ルネサステクノロジ メモリ回路/ロジック回路集積システム
JP4477886B2 (ja) 2003-04-28 2010-06-09 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4398225B2 (ja) * 2003-11-06 2010-01-13 株式会社ルネサステクノロジ 半導体装置
US20070145367A1 (en) 2005-12-27 2007-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure
JP5227536B2 (ja) 2006-04-28 2013-07-03 株式会社半導体エネルギー研究所 半導体集積回路の作製方法
US7730478B2 (en) 2006-10-04 2010-06-01 Salesforce.Com, Inc. Method and system for allowing access to developed applications via a multi-tenant on-demand database service
US8032711B2 (en) 2006-12-22 2011-10-04 Intel Corporation Prefetching from dynamic random access memory to a static random access memory
JP5355863B2 (ja) 2007-04-17 2013-11-27 アプライド マテリアルズ インコーポレイテッド 三次元半導体デバイスの製造方法、基板生産物の製造方法、基板生産物、及び三次元半導体デバイス
JP5104495B2 (ja) 2008-04-08 2012-12-19 株式会社ニコン 積層半導体素子製造方法および積層半導体素子製造装置
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP5304536B2 (ja) * 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US10354995B2 (en) * 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8159060B2 (en) 2009-10-29 2012-04-17 International Business Machines Corporation Hybrid bonding interface for 3-dimensional chip integration
KR20120079397A (ko) 2011-01-04 2012-07-12 삼성전자주식회사 적층형 반도체 장치 및 이의 제조 방법
UA110988C2 (uk) 2011-08-05 2016-03-10 Пресіжн Плентінг Елелсі Пристрій, системи і способи регулювання притискної сили рядного висівного апарата
US8754514B2 (en) 2011-08-10 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip wafer level package
US9620430B2 (en) * 2012-01-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Sawing underfill in packaging processes
JP5927017B2 (ja) 2012-04-20 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8902663B1 (en) * 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US8927427B2 (en) 2013-04-29 2015-01-06 International Business Machines Corporation Anticipatory implant for TSV
US9087821B2 (en) * 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US8860229B1 (en) 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
KR102128469B1 (ko) * 2013-11-08 2020-06-30 삼성전자주식회사 반도체 장치
KR102192539B1 (ko) * 2014-05-21 2020-12-18 삼성전자주식회사 반도체 장치 및 이의 프로그램 방법
US10192062B2 (en) 2014-06-20 2019-01-29 Cypress Semiconductor Corporation Encryption for XIP and MMIO external memories
US10892269B2 (en) 2014-09-12 2021-01-12 Toshiba Memory Corporation Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit
US10017321B2 (en) 2014-10-08 2018-07-10 Pauline Frances Empey Brush holder
US9601471B2 (en) * 2015-04-23 2017-03-21 Apple Inc. Three layer stack structure
US9559081B1 (en) * 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
CN105468569A (zh) 2015-11-17 2016-04-06 上海新储集成电路有限公司 一种包含大容量非易失性存储器的嵌入式系统
KR102608173B1 (ko) * 2016-03-11 2023-12-01 에스케이하이닉스 주식회사 메모리 장치 및 이의 제조 방법
KR102589301B1 (ko) 2016-04-29 2023-10-13 삼성전자주식회사 비휘발성 메모리 장치
US10332841B2 (en) * 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10510592B2 (en) * 2016-07-25 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit (IC) structure for high performance and functional density
KR102467698B1 (ko) 2016-07-26 2022-11-16 삼성전자주식회사 적층형 메모리 장치, 이를 포함하는 시스템 및 그 동작 방법
US10672743B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
US10181455B2 (en) * 2017-01-17 2019-01-15 Apple Inc. 3D thin profile pre-stacking architecture using reconstitution method
US11397687B2 (en) * 2017-01-25 2022-07-26 Samsung Electronics Co., Ltd. Flash-integrated high bandwidth memory appliance
JP2018152419A (ja) 2017-03-10 2018-09-27 東芝メモリ株式会社 半導体記憶装置
WO2018182595A1 (en) * 2017-03-29 2018-10-04 Intel Corporation Embedded die microelectronic device with molded component
CN107658315B (zh) * 2017-08-21 2019-05-14 长江存储科技有限责任公司 半导体装置及其制备方法
CN110121779B (zh) * 2017-08-21 2020-09-25 长江存储科技有限责任公司 三维存储器器件及用于形成其的方法
US10630296B2 (en) * 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
CN107658317B (zh) * 2017-09-15 2019-01-01 长江存储科技有限责任公司 一种半导体装置及其制备方法
US10283452B2 (en) * 2017-09-15 2019-05-07 Yangtze Memory Technology Co., Ltd. Three-dimensional memory devices having a plurality of NAND strings
WO2019079625A1 (en) * 2017-10-20 2019-04-25 Xcelsis Corporation HIGH DENSITY 3D CALCULATION CIRCUIT FOR Z-AXIS INTERCONNECTIONS
CN108063097A (zh) 2017-12-19 2018-05-22 武汉新芯集成电路制造有限公司 一种三层芯片集成方法
WO2019132961A1 (en) * 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
US10283493B1 (en) * 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
KR102504332B1 (ko) * 2018-02-21 2023-02-28 삼성전자주식회사 서로 이격되어 배치되는 범프 어레이들을 포함하는 메모리 장치 및 이를 포함하는 전자 장치
KR102362622B1 (ko) * 2018-02-23 2022-02-14 삼성전자주식회사 서로 다른 종류의 메모리 셀들을 갖는 반도체 소자
CN108447865B (zh) * 2018-04-19 2019-09-03 长江存储科技有限责任公司 三维存储器及其制造方法
US10629592B2 (en) 2018-05-25 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via design for stacking integrated circuits
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
US20190043868A1 (en) * 2018-06-18 2019-02-07 Intel Corporation Three-dimensional (3d) memory with control circuitry and array in separately processed and bonded wafers
CN109314116B (zh) * 2018-07-20 2019-10-01 长江存储科技有限责任公司 用于形成三维存储器件的方法
CN109148498B (zh) * 2018-08-14 2021-06-15 武汉新芯集成电路制造有限公司 一种高存储容量的三维键合传感器的结构及其制造方法
TWI724506B (zh) * 2018-09-04 2021-04-11 日商東芝記憶體股份有限公司 半導體記憶裝置
US20200135266A1 (en) 2018-10-30 2020-04-30 Intel Corporation Random-access memory with loaded capacitance
CN109411473A (zh) * 2018-11-05 2019-03-01 长江存储科技有限责任公司 一种dram存储芯片及其制造方法
CN109545764A (zh) 2018-11-14 2019-03-29 长江存储科技有限责任公司 三维存储器及其制造方法
CN110192269A (zh) * 2019-04-15 2019-08-30 长江存储科技有限责任公司 三维nand存储器件与多个功能芯片的集成

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01157561A (ja) * 1987-08-17 1989-06-20 Lsi Logic Corp マルチプレーンチップ組立体
JPH11168185A (ja) * 1997-12-03 1999-06-22 Rohm Co Ltd 積層基板体および半導体装置
JP2003242774A (ja) * 2002-02-19 2003-08-29 Matsushita Electric Ind Co Ltd 半導体記憶装置及びマルチチップモジュール
JP2010080801A (ja) * 2008-09-29 2010-04-08 Hitachi Ltd 半導体装置
JP2013503488A (ja) * 2009-08-26 2013-01-31 クアルコム,インコーポレイテッド 異なる半導体ダイおよび/またはウエハーのための半導体ウエハー間接合
JP2012089566A (ja) * 2010-10-15 2012-05-10 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
JP2015079960A (ja) * 2013-10-15 2015-04-23 三星電子株式会社Samsung Electronics Co.,Ltd. 集積回路素子及びその製造方法
JP2016062901A (ja) * 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
WO2016143553A1 (ja) * 2015-03-11 2016-09-15 ソニー株式会社 固体撮像装置および製造方法、半導体ウェハ、並びに電子機器
US20180046908A1 (en) * 2016-08-15 2018-02-15 International Business Machines Corporation High memory bandwidth neuromorphic computing system
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法

Also Published As

Publication number Publication date
CN112614831A (zh) 2021-04-06
JP2022529564A (ja) 2022-06-23
KR20210110691A (ko) 2021-09-08
EP3891806A1 (en) 2021-10-13
EP3891807A1 (en) 2021-10-13
JP7197719B2 (ja) 2022-12-27
KR102639431B1 (ko) 2024-02-22
US20230253364A1 (en) 2023-08-10
CN112614831B (zh) 2023-08-08
TWI740319B (zh) 2021-09-21
KR20210113275A (ko) 2021-09-15
KR20240042552A (ko) 2024-04-02
TW202115883A (zh) 2021-04-16
JP2023036733A (ja) 2023-03-14
US11694993B2 (en) 2023-07-04
EP3891807A4 (en) 2022-10-26
US20220028829A1 (en) 2022-01-27
EP3891806A4 (en) 2022-10-12
TWI741396B (zh) 2021-10-01
TW202118019A (zh) 2021-05-01

Similar Documents

Publication Publication Date Title
US11024600B2 (en) Unified semiconductor devices having programmable logic device and heterogeneous memories and methods for forming the same
WO2020211322A1 (en) Unified semiconductor devices having programmable logic device and heterogeneous memories and methods for forming the same
TWI740319B (zh) 具有可程式設計邏輯元件和異構記憶體的半導體元件及其形成方法
TWI808281B (zh) 具有可程式設計邏輯裝置和動態隨機存取記憶體的接合半導體裝置及其形成方法
JP7375025B2 (ja) プログラマブルロジックデバイスおよびダイナミックランダムアクセスメモリーを有する結合された半導体デバイス、ならびに、それを形成するための方法
US11302700B2 (en) Bonded semiconductor devices having programmable logic device and NAND flash memory and methods for forming the same
US11711913B2 (en) Bonded semiconductor devices having programmable logic device and NAND flash memory and methods for forming the same
WO2020220593A1 (en) Bonded semiconductor devices having programmable logic device and nand flash memory and methods for forming the same
US11996389B2 (en) Bonded semiconductor devices having programmable logic device and dynamic random-access memory and methods for forming the same
CN112510031A (zh) 具有处理器和nand闪存的键合半导体器件及其形成方法
TW202115869A (zh) 具有可程式設計邏輯元件和nand快閃記憶體的接合半導體裝置及其形成方法
KR102684056B1 (ko) 프로그래머블 로직 디바이스 및 nand 플래시 메모리를 갖는 본딩 반도체 디바이스 및 그 형성 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210803

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210803

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221003

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230721

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230731

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20230929