JP2020017709A - プラズマ処理方法およびプラズマ処理装置 - Google Patents

プラズマ処理方法およびプラズマ処理装置 Download PDF

Info

Publication number
JP2020017709A
JP2020017709A JP2018141742A JP2018141742A JP2020017709A JP 2020017709 A JP2020017709 A JP 2020017709A JP 2018141742 A JP2018141742 A JP 2018141742A JP 2018141742 A JP2018141742 A JP 2018141742A JP 2020017709 A JP2020017709 A JP 2020017709A
Authority
JP
Japan
Prior art keywords
film
plasma processing
etching
plasma
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018141742A
Other languages
English (en)
Other versions
JP7066565B2 (ja
Inventor
雅弘 田端
Masahiro Tabata
雅弘 田端
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2018141742A priority Critical patent/JP7066565B2/ja
Priority to CN201910670570.4A priority patent/CN110783190B/zh
Priority to KR1020190091169A priority patent/KR20200012796A/ko
Priority to US16/522,933 priority patent/US11289339B2/en
Priority to TW108126442A priority patent/TWI811409B/zh
Publication of JP2020017709A publication Critical patent/JP2020017709A/ja
Priority to US17/669,944 priority patent/US20220165579A1/en
Priority to JP2022071809A priority patent/JP7278456B2/ja
Application granted granted Critical
Publication of JP7066565B2 publication Critical patent/JP7066565B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

【課題】ローカル・クリティカル・ディメンション・ユニフォーミティ(LCDU)を改善することができる技術を提供する。【解決手段】プラズマ処理装置が実行するプラズマ処理方法は、第1の工程と、第2の工程と、エッチング工程と、を含む。プラズマ処理装置は、第1の工程において、所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する。プラズマ処理装置は、第2の工程において、第1の膜が堆積された処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、第1の膜よりもエッチングレートが低い第2の膜を形成する。プラズマ処理装置は、エッチング工程において、第2の膜の上から、処理対象の少なくとも一部において第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。【選択図】図1

Description

以下の開示は、プラズマ処理方法およびプラズマ処理装置に関する。
半導体装置の微細化が進むとともに、微細な寸法加工を可能とする技術の研究開発が進められている。その一つが極端紫外線リソグラフィ(EUVL:Extreme Ultraviolet lithography)である。
たとえば、EUVLを用いて処理対象のエッジを滑らかにする技術が提案されている(特許文献1)。当該技術では、優先的に処理対象の凹部に堆積するパッシベーション層を形成した後、パッシベーション層が堆積しなかった凸部をエッチングにより除去する。パッシベーション層が凸部よりも凹部に優先的に堆積する理由は、凹部の比表面積が凸部よりも大きいことである。この技術はローカル・クリティカル・ディメンション・ユニフォーミティ(LCDU: Local critical dimension uniformity)の低減にも効果があるとされている。
米国特許出願公開第2016/0379824号明細書
本開示は、LCDUを改善することができる技術を提供する。
本開示の一態様によるプラズマ処理方法は、第1工程と、第2工程と、エッチング工程と、を含む。第1工程は、所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する。第2工程は、第1の膜が形成された処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、第1の膜よりもエッチングレートが低い第2の膜を形成する。エッチング工程は、第2の膜の上から、処理対象の少なくとも一部において第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。
本開示によれば、LCDUを改善することができる。
図1は、第1の実施形態に係るプラズマ処理の流れの一例を示すフローチャートである。 図2Aは、第1の実施形態に係るプラズマ処理の処理対象の一例の概略断面図である。 図2Bは、図2Aに示す処理対象の概略上面図である。 図2Cは、図2Aに示す処理対象上に第1の膜および第2の膜が形成された状態を示す概略断面図である。 図2Dは、開口部の側壁に堆積した第1の膜および第2の膜のエッチングによる除去速度について説明するための図(1)である。 図2Eは、開口部の側壁に堆積した第1の膜および第2の膜のエッチングによる除去速度について説明するための図(2)である。 図3は、第1の実施形態に係るプラズマ処理方法によって得られるLCDU改善効果について説明するための図である。 図4は、成膜条件とエッチング耐性との関係について説明するための図である。 図5は、第1の実施形態に係るプラズマ処理の処理シーケンスの一例を示す図である。 図6は、第1の実施形態に係るプラズマ処理の処理シーケンスの他の例を示す図である。 図7は、第1の実施形態に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。 図8は、変形例1に係るプラズマ処理の流れの一例を示すフローチャートである。 図9は、変形例1に係るプラズマ処理の処理シーケンスの一例を示す図である。 図10は、変形例1に係るプラズマ処理の処理シーケンスの他の例を示す図である。 図11は、変形例1に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。 図12は、変形例2に係るプラズマ処理の流れの一例を示すフローチャートである。 図13は、変形例3に係るプラズマ処理の流れの一例を示すフローチャートである。 図14は、変形例3に係るプラズマ処理の処理シーケンスの一例を示す図である。 図15は、一実施形態に係るプラズマ処理装置の縦断面の一例を示す図である。
以下に、開示する実施形態について、図面に基づいて詳細に説明する。なお、本実施形態は限定的なものではない。また、各実施形態は、処理内容を矛盾させない範囲で適宜組み合わせることが可能である。
<第1の実施形態>
半導体の微細加工における寸法のばらつきは最終的な製品の性能を左右する。たとえば半導体基板にゲート電極を形成する場合を考える。まず、半導体基板上に、ゲート電極用のポリシリコン層、エッチング用のマスク層を順次形成する。マスク層にはEUVL等のリソグラフィによりゲート電極に対応するパターンが形成される。そして、マスク層を用いてポリシリコン層をエッチングしてゲート電極を形成する。このとき、マスク層のパターンの寸法にばらつきがあると、そのままゲート電極の寸法のばらつきとなる。このため、マスク層の段階でパターンの寸法の均一性を高めることが好ましい。第1の実施形態においては、処理対象上に形成されるパターンの寸法を均一化しLCDUを改善する技術を提供する。第1の実施形態に係るプラズマ処理方法は、たとえば、処理対象上に略同一寸法のパターンが複数繰り返し形成される場合に、当該パターンの寸法を均一化する。第1の実施形態に係るプラズマ処理方法はまた、半導体ウェハ等のパターンのラフネス改善にも効果を発揮する。
<第1の実施形態のプラズマ処理の流れの一例>
図1は、第1の実施形態に係るプラズマ処理の流れの一例を示すフローチャートである。第1の実施形態に係るプラズマ処理はたとえば後述するプラズマ処理装置(図15参照)が実行する。
まず、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。そして、プラズマ処理装置は、第1工程を実行する(ステップS11)。プラズマ処理装置は、第1工程において、処理対象の所定のパターン上に第1の膜を形成する。次に、プラズマ処理装置は第2工程を実行する(ステップS12)。プラズマ処理装置は、第2工程において、第2の膜を形成する。第2の膜は第1の膜を覆うように形成される。ここで、第2の膜の堆積は、処理対象上の開口部のサイズに応じて開口部の側面に堆積する第2の膜の量が異なるよう処理条件を設定して実行する。また、第2の膜の堆積は、第1の膜よりもエッチングレートが低くなるよう処理条件を設定して実行される。次に、プラズマ処理装置はエッチング工程を実行する(ステップS13)。エッチング工程において、プラズマ処理装置は、第1、第2の膜が順次形成された処理対象に対して、第2の膜の上から、処理対象の少なくとも一部において第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS14)。所定の条件を満足する状態となっていないと判定した場合(ステップS14、No)、プラズマ処理装置は、ステップS11に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS14、Yes)、プラズマ処理装置は処理を終了する。これが第1の実施形態に係るプラズマ処理の流れの一例である。
<ローディング効果と選択比を利用したLCDU改善>
図2A乃至図2Eを参照して第1の実施形態に係るプラズマ処理についてさらに説明する。図2Aは、第1の実施形態に係るプラズマ処理の処理対象の一例の概略断面図である。図2Bは、図2Aに示す処理対象の概略上面図である。
図2Aに示す処理対象は、基板SBと、被エッチング層ELと、マスク層MKと、を備える。被エッチング層ELおよびマスク層MKは基板SBの上に順番に形成されている。また、マスク層MKには所定のパターンが形成されている。図2Bに示すように、所定のパターンは上面視で、複数の略真円で形成され、複数の略真円は所定の間隔をおいて整列する。図2Bの線V1−V1上の3つの開口部をそれぞれO1,O2,O3で示す。また、開口部O1,O2,O3各々の線V1−V1に沿った幅をW1,W2,W3で示す。
ここで、設計上は、開口部O1,O2,O3は同一寸法であり、幅W1,W2,W3は同一長さである。しかし、EUVL等のリソグラフィでマスク層MKに上記パターンを形成する場合、各開口部の寸法にばらつきが生じる場合がある。たとえば、W1<W2,W2>W3,W1<W3のように、各開口部の幅寸法にばらつきが生じる場合がある。
そこで、上記実施形態の第1工程を実行する(図1、ステップS11)。一例として、第1工程をローディング効果のある材料を用いた化学気相成長(CVD)で実行して第1の膜を形成する。ローディング効果とは、パターンの粗密によって成膜される膜の膜厚等が異なる現象である。たとえば、パターン自体の大きさ、たとえば開口部の開口面積によって成膜後の開口寸法が異なる。また、当該パターンの周囲にあるパターンの形状や配置によって成膜後の開口寸法が異なる。ローディング効果により、パターンの粗密に応じて膜厚が異なるため、たとえば、図2Cに示すように、幅が小さい開口部O1の側壁T1に形成される第1の膜の膜厚は薄く、幅が大きい開口部O2の側壁T2に形成される第2の膜は厚くなる(図2C参照)。図2Cは、図2Aに示す処理対象上に第1の膜および第2の膜が形成された状態を示す概略断面図である。なお、図2Cにおいては、説明のため膜厚差を実際よりも強調して表示する。
次に、上記実施形態の第2工程を実行する(図1、ステップS12)。たとえば、第1工程と同様に、ローディング効果のある材料を用いたCVDにより第2の膜を形成する。すると、第1の膜と同様に、側壁T1において薄く側壁T2において厚い第2の膜が形成される(図2C参照)。
次に、第1の膜、第2の膜が形成された上から処理対象にエッチングを施す(図1、ステップS13)。まず、第2の膜がエッチングにより削られて徐々に除去されていく。このとき、側壁T2上に形成されている第2の膜は側壁T1上に形成されている第2の膜よりも厚い。したがって、側壁T1上の第2の膜がエッチングにより除去されたとしても、側壁T2上には第2の膜が残存した状態となる。
図2Dおよび図2Eは各々、開口部の側壁に堆積した第1の膜および第2の膜のエッチングによる除去速度について説明するための図(1)および(2)である。図2Dに示す処理対象の側壁T2上には膜厚Aの第1の膜と、膜厚Bの第2の膜とが堆積している。また、図2Eに示す処理対象の側壁T1上には膜厚aの第1の膜と、膜厚bの第2の膜とが堆積している。また、各膜厚の値の大小関係は、A>a,B>bである。
まず、側壁T2上の第2の膜(膜厚B)をエッチングで除去するためには12秒かかるとする。また、側壁T1上の第2の膜(膜厚b)をエッチングで除去するためには10秒かかるとする。すると、仮に、処理対象全体についてエッチングを12秒行うと、側壁T2上では、12秒間で第2の膜が除去された後、第1の膜はエッチングされずに残存する(除去された膜厚はB)。これに対して側壁T1上では、10秒間で第2の膜が除去された後、さらに第1の膜が2秒間エッチングされる。このため、側壁T1上で除去された膜厚は、第2の膜の膜厚bに、2秒間のエッチングで除去された第1の膜の膜厚αを加えたものとなる(除去された膜厚はb+α)。
ここで、第1の膜のエッチングレートと第2の膜のエッチングレートが同程度であれば、側壁T1においてエッチングにより除去される膜厚は、側壁T2においてエッチングにより除去される膜厚と同じとなる(B=b+α)。しかし、第1の膜のエッチングレートと第2の膜のエッチングレートが異なる場合、側壁T1においてエッチングにより除去される膜厚の総量と、側壁T2においてエッチングにより除去される膜厚の総量に差が生じる(B≠b+α)。
たとえば、第1の膜のエッチングレートが第2の膜のエッチングレートより高い場合、B<b+αとなる。そして、プラズマ処理の前後での側壁T2上での膜厚の変化は、A+B−B=Aであるのに対し、側壁T1上での膜厚の変化は、a+b−(b+α)=a−αとなる。すると、開口部O2の幅W2は2Aだけ減少するのに対し、開口部O1の幅W1は2a−2αだけ減少することになる。つまり、幅の広い開口部O2側において幅の狭い開口部O1側よりも開口寸法を減少させることができる。この効果は、αの値が大きくなるようにエッチングレートを設定することでさらに増大させることができる。かかる現象を利用して処理対象のLCDUを改善することができる。
図3は、第1の実施形態に係るプラズマ処理方法によって得られるLCDU改善効果について説明するための図である。図3の縦軸は開口部の開口寸法を示し、横軸は処理時間を示す。また、実線は開口部O1の側壁T1間の開口寸法の変化を示し、点線は開口部O2の側壁T2間の開口寸法の変化を示す(図2C参照)。
まず、開口部O1においては、時点t0において第1工程が開始すると、側壁T1上に第1の膜が堆積し始める。第1工程の間、開口寸法は徐々に減少し、第1工程が終了する時点t1で処理前のWA1からWA2まで減少する。次に、時点t1において第2工程が開始すると、開口部O1の側壁T1上に第2の膜が堆積し始める。第2工程の間、開口寸法は徐々に減少し、第2工程が終了する時点t2で開口寸法はさらにWA3まで減少する。
他方、開口部O2においては、時点t0において第1工程が開始すると側壁T2上に第1の膜が堆積し始める。第1工程の間、開口寸法は徐々に減少し第1工程が終了する時点t1で処理前のWB1からWB2まで減少する。次に、時点t1において第2工程が開始すると、開口部O2の側壁T2に第2の膜が堆積し始める。第2工程の間、開口寸法は徐々に減少し、第2工程が終了する時点t2で開口寸法はさらにWB3まで減少する。
次に、時点t2においてエッチング工程が開始すると、開口部O1においては第2の膜が徐々に削られて開口寸法が大きくなる。時点t3において、開口部O1の側壁T1に堆積した第2の膜はエッチングによりすべて除去され第1の膜が露出する。第1の膜は第2の膜よりもエッチングレートが高いため、時点t3以降、開口寸法が大きくなる速度すなわちエッチングによる膜の除去速度が増加する。エッチング処理が終了する時点t5における開口部O1の開口寸法はWA4となる。
他方、開口部O2においては、時点t2においてエッチング工程が開始すると、開口部O1と同様に第2の膜が徐々に削られて開口寸法が大きくなる。しかし、開口部O2は処理開始時点t0における開口寸法WB1が開口部O1の開口寸法WA1より大きいため、ローディング効果により堆積している第1、第2の膜の膜厚が開口部O1よりも厚い。したがって、開口部O2において第2の膜がすべて除去されるのは時点t3よりも後の時点t4となる。時点t4以降、開口部O2においても第1の膜のエッチングが開始する。エッチング処理が終了する時点t5における開口部O2の開口寸法はWB4となる。
図3から分かるように、処理開始時点t0における開口部O1と開口部O2との寸法差(WB1−WA1)と比べて、処理終了時点t5における開口部O1と開口部O2との寸法差(WB4−WA4)は減少している。特に、開口部O1において第2の膜の除去(時点t3)後にエッチングレートが増加することにより、寸法差が速やかに減少している。このことから、第1の膜と第2の膜のエッチング選択比を大きくすることで、開口部の寸法差を迅速に解消することができる。
<ローディング効果とLCDU改善効果との関係>
次に、ローディング効果とLCDU改善効果との関係について説明する。たとえば図2Cに示したように、処理対象上に開口部O1と、開口部O1よりも開口寸法が大きい開口部O2と、が形成されているとする。そして、第1工程において堆積された第1の膜の膜厚と第2工程において堆積された第2の膜の膜厚が、開口部O1においてはa、bであり開口部O2においてはA、Bであるとする。また、第1の膜と第2の膜とのエッチング選択比(第1の膜のエッチングレートと第2の膜のエッチングレートとの比、すなわち、第1のエッチングレート/第2の膜のエッチングレート)はSであるとする。
このとき、開口部O1において第2の膜がすべて除去されたとき、開口部O2において残存している第2の膜の膜厚は(B−b)である。そして、開口部O2に残存している第2の膜がすべて除去された時点で、開口部O1に残存している第1の膜の膜厚は、(a−(S×(B−b)))である。とすると、開口部O2の開口寸法と開口部O1の開口寸法との差は、ちょうど(A−(a−(S×(B−b)))だけ減少したことになる(=LCDU改善量)。ここで、A−a=X,B−b=Yを上の式に代入すると、LCDU改善量を次の式(1)で表わすことができる。
(X+(S×Y))・・・式(1)
式(1)から、XおよびYの値が大きいほど、LCDU改善量が大きくなると言える。すなわち、第1の膜、第2の膜のいずれについてもローディング効果(X,Y)が大きいほどLCDU改善量が大きくなる。すなわち、開口部O1,O2において形成される第1の膜、第2の膜各々の膜厚差(X,Y)が大きいほど、LCDU改善量が大きくなる。また、第1の膜、第2の膜のいずれかについてローディング効果(X,Y)があれば、LCDUの改善が見込まれる。また、第2の膜についてローディング効果(Y)があり、かつ、第1の膜と第2の膜とのエッチング選択比(S)が大きい場合に大きな改善効果が見込まれる。
<ローディング効果を利用しない例>
図3の例では、ローディング効果を利用することで、開口部O1と開口部O2において形成される第1の膜および第2の膜の双方の膜厚が異なるように制御した。これに限らず、たとえば、第1の膜はローディング効果を利用しない手法で形成し、第2の膜のみローディング効果を利用して形成するものとしてもよい。たとえば、第1の膜は原子層堆積(ALD:Atomic Layer Deposition)を用いて形成してもよい。
開口部O1と開口部O2において形成される第2の膜の膜厚に差があれば、第1の膜のエッチングが開始する時点にずれが生じるため、最終的に開口部O1と開口部O2においてエッチングされる膜の膜厚に差を出すことができる。このため、第1の膜はローディング効果を利用せずに形成しても本実施形態の効果を享受することができる。
<エッチングレートと処理条件>
図4は、成膜条件とエッチング耐性との関係について説明するための図である。図4に示す例は、同一材料で第1の膜および第2の膜を形成しても選択比を出すことができることを示している。図4の縦軸はエッチングレート(nm/min)を、横軸は成膜時のO2添加流量(sccm)を示す。
図4の例で用いた成膜条件は以下のとおりである。なお、以下の条件中、印加電力は、プラズマ生起用の印加電力とバイアス電圧発生用の印加電力とをこの順に併記して示している。
・チャンバ内の圧力 10mT
・印加電力 1000W+0W
・ガス種および流量 SiCl4/He/O2=25/100/@@sccm
・処理時間 60秒
また、図4の例で用いたエッチング条件は以下のとおりである。
例1
・チャンバ内の圧力 20mT
・印加電力 500W+100W
・ガス種および流量 C4F8/Ar=40/200sccm
例2
・チャンバ内の圧力 20mT
・印加電力 500W+50W
・ガス種および流量 Cl2=200sccm
図4の例から分かるように、同じSiO2膜を形成する場合であっても、O2の添加流量を変えることによってエッチングレートを変化させることができる。図4の例では、O2添加流量が少ないほどエッチングレートが高く、O2添加流量が多いほどエッチングレートが低くなっている。したがって、O2添加流量を少なく設定してSiO2膜を第1の膜として形成した後、O2添加流量を多く設定してSiO2膜を第2の膜として形成することが可能である。エッチングガスの種類によっても異なるが、図4の例では同じSiO2膜についてエッチング選択比を約1〜17程度の範囲内で制御することができる。
<処理シーケンス例1>
図5は、第1の実施形態に係るプラズマ処理の処理シーケンスの一例を示す図である。第1工程では、SiCl4とO2を処理ガスとしてCVDによりSiO2膜を第1の膜として堆積する。第2工程も、SiCl4とO2を処理ガスとしてCVDによりSiO2膜を第2の膜として堆積する。ただし、第2工程では、第1工程と比較してO2の流量を増加させることで、第1の膜のエッチングレートが第2の膜のエッチングレートよりも高くなるよう調整している。エッチング工程は、NF3を用いて実行する。このように、第1の実施形態に係るプラズマ処理方法では、第1工程および第2工程において、処理条件を変えることによって同種の膜を第1の膜、第2の膜として形成することができる。
<処理シーケンス例2>
図6は、第1の実施形態に係るプラズマ処理の処理シーケンスの他の例を示す図である。第1工程では、第1の種類のカーボン含有ガスを処理ガスとして用いてCVDにより第1のカーボン膜を第1の膜として堆積する。第1の種類のカーボン含有ガスはたとえば、CF系のガスである。第1の種類のカーボン含有ガスはたとえば、C4F8、C4F6等である。また、第1の種類のカーボン含有ガスはたとえば、CHF系のガスである。第1の種類のカーボン含有ガスはたとえば、CH2F2、CH3F等である。第2工程では、第2の種類のカーボン含有ガスを処理ガスとして用いてCVDにより第2のカーボン膜を第2の膜として堆積する。第2の種類のカーボン含有ガスはたとえば、CH系たとえばCH4等のガスである。エッチング工程はO2を用いて実行する。Ar等の希ガスを第1工程、第2工程、エッチング工程において用いてよい。
<処理シーケンス例3>
図7は、第1の実施形態に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。第1工程では、カーボン含有ガスを処理ガスとして用いてCVDによりカーボン膜を第1の膜として堆積する。たとえば、CF系、CH系、CHF系等のガスを処理ガスとして使用できる。第2工程では、SiCl4とO2を処理ガスとして用いてCVDによりSiO2膜を第2の膜として堆積する。エッチング工程は、NF3を用いて実行する。
上記のとおり、第1の実施形態に係るプラズマ処理方法は、多様なガス種を組み合わせて実行することができる。また、第1の膜と第2の膜の膜種を同一にしてもよい。
<サイクル数>
第1の実施形態に係るプラズマ処理方法においては、第1工程、第2工程およびエッチング工程を1サイクルとして、所定の条件が満足されるまで、複数のサイクルを実行する。所定の条件とはたとえば、処理対象上に形成された複数の開口部の寸法差が所定値以下となったこと、所定数のサイクルを実行したこと、等である。
<膜種、ガス種等>
なお、上記第1の実施形態においては第1の膜および第2の膜の膜種は、SiO2、カーボン含有膜(たとえば、CF系、CH系、CHF系)等と説明した。ただしこれに限らず、第1の膜および第2の膜はたとえば、酸化珪素(SiOx)、窒化珪素(SiN)、シリコンカーバイド(SiC)、珪素(Si)等のシリコン含有膜であってよい。また、第1の膜および第2の膜はたとえば、チタン(Ti)含有膜、タングステン(W)含有膜であってよい。また、第1の膜および第2の膜はたとえばボロン含有膜であってよい。
また、エッチング工程において使用するガス種は、エッチング対象の膜が珪素や金属を含有する場合は、ハロゲン含有ガスが適している。また、エッチング対象の膜がカーボン含有膜である場合は、エッチングガスとして酸素含有ガスを使用することができる。
<エッチングの手法>
また、エッチング工程において側壁をエッチングするためには、等方性および異方性エッチング、プラズマエッチング、原子層エッチング(ALE: Atomic Layer Etching)等を用いることができる。また、エッチング工程においては、第2の膜が除去されて第1の膜が少なくとも一部露出した時点で、エッチングの処理条件を変更してもよい。たとえば、第2の膜のエッチングに適した第1の処理条件から第1の膜のエッチングに適した第2の処理条件にエッチングの処理条件を変更することで、第1の膜のエッチングによる除去速度をさらに早めることができる。たとえば、第1の膜が少なくとも一部露出した時点で、エッチングガス種を変更して第1の膜のエッチング速度が早まるようにしてもよい。
なお、上記第1の実施形態を変形して、マスク層MK(図2A参照)自体を第1の膜として利用して、マスク層よりもエッチングレートが低い膜をマスク層MK上に堆積した後、エッチングを行うようにしてもよい。そして、マスク層MKのエッチング量を位置によって異ならせることにより、LCDUを改善するようにしてもよい。また、第1の膜および第2の膜の2層ではなく、2層以上の膜を形成するようにしてもよい。また、その場合には、各膜の間にエッチングレートの差を設けてもよい。この場合も、外側の膜ほどエッチングレートが低くなるようにエッチングレートを設定する。
なお、上記第1の実施形態においては、図2Aおよび図2Bに示す複数の真円が整列するパターンを例として説明した。ただし、本実施形態は図2Aおよび図2Bに示す形状のパターンに限定されず、楕円形状のパターンのLCDUやライン形状のばらつきを改善するために適用することができる。たとえば、本実施形態は、LER(Line Edge Roughness)やLWR(Line Width Roughness)の改善のために適用することができる。
<第1の実施形態の効果>
上記第1の実施形態に係るプラズマ処理方法は、第1工程と、第2工程と、エッチング工程と、を含む。第1工程においては、プラズマ処理装置は、所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する。第2工程においては、プラズマ処理装置は、第1の膜が形成された処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、第1の膜よりもエッチングレートが低い第2の膜を形成する。エッチング工程においては、プラズマ処理装置は、第2の膜の上から、処理対象の少なくとも一部において前記第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。このため、第1の実施形態に係るプラズマ処理方法によれば、ローディング効果と第1の膜および第2の膜のエッチングレートの差を利用して、LCDUを改善することができる。第1の実施形態に係るプラズマ処理方法は、たとえば、極端紫外線リソグラフィ(EUVL:Extreme Ultraviolet lithography)を用いて製造されたパターンのLCDU改善に適用することができる。
また、第1の実施形態に係るプラズマ処理方法において、プラズマ処理装置は、エッチング工程において、処理対象の少なくとも一部において第1の膜が露出した時点で、所定の処理条件を第1の処理条件から第2の処理条件に変更する。たとえば、プラズマ処理装置は、第2の膜のエッチングに適した第1の処理条件から第1の膜のエッチングに適した第2の処理条件に変更することで、第1の膜のエッチングによる除去速度をさらに早めることができる。このため、プラズマ処理装置は、LCDUの改善効果をさらに向上させることができる。
また、第1の実施形態に係るプラズマ処理方法において、プラズマ処理装置は、第1工程、第2工程およびエッチング工程を、所定条件が満足されたと判定されるまで繰り返し実行する。このため、プラズマ処理装置は、所望のLCDUが達成されるまで処理を実行することができる。
<変形例1−傾斜組成膜の形成>
上記第1の実施形態では、第1の膜、第2の膜をそれぞれ形成した後、エッチングを行うことによりLCDUを改善するものとした。変形例1では、堆積する膜は1層としつつ成膜条件を変化させることにより、第1の実施形態における第1の膜および第2の膜の2つの膜を形成するのと同等の効果を得る。
図8は、変形例1に係るプラズマ処理の流れの一例を示すフローチャートである。変形例1に係るプラズマ処理はたとえば後述するプラズマ処理装置(図15参照)が実行する。
まず、第1の実施形態に係るプラズマ処理(図1参照)と同様、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。プラズマ処理装置は、堆積工程を実行する(ステップS81)。プラズマ処理装置は、堆積工程において、処理対象から遠ざかるにつれて堆積する膜のエッチングレートが徐々に低くなる処理条件下で、パターン上に膜を堆積する。なお、堆積工程において堆積する膜はローディング効果により、開口部の寸法に応じて膜厚が異なる膜とする。次に、プラズマ処理装置はエッチング工程を実行する(ステップS82)。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS83)。所定の条件を満足する状態となっていないと判定した場合(ステップS83、No)、プラズマ処理装置は、ステップS81に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS81、Yes)、プラズマ処理装置は処理を終了する。これが変形例1に係るプラズマ処理の流れの一例である。
<処理シーケンス例1>
図9は、変形例1に係るプラズマ処理の処理シーケンスの一例を示す図である。図9の例では、図5の例と同様にSiO2膜を堆積する。まず、堆積工程において、たとえばSiCl4とO2を処理ガスとしてCVDによりSiO2膜を堆積する。堆積工程の間、O2の流量を徐々に増加させる。このため、図9のシーケンスにおいては、処理対象上に形成されるSiO2膜のエッチングレートが徐々に低くなる(図4参照)。堆積工程の間、SiCl4の流量は一定である。堆積工程の後、エッチング工程を、NF3ガスからプラズマを生成して実行する。このように、変形例1に係るプラズマ処理方法では、堆積工程の間に処理条件を変化させることによって、一つの膜のエッチングレートを徐々に変えることができる。たとえば、当該プラズマ処理方法では、膜の成分となる複数のガスの比率を徐々に変化させることで連続的にエッチングレートを変化させて膜を体積することができる。また、当該プラズマ処理方法では、所定のガスの流量を増加させることによって、一つの膜のエッチングレートを徐々に変えることができる。
<処理シーケンス例2>
図10は、変形例1に係るプラズマ処理の処理シーケンスの他の例を示す図である。図10の例では、図6の例と同様に2種類のカーボン含有ガスを用いて膜を堆積する。しかし、図6の例とは異なり、図10の例では、堆積工程の間、第1のカーボン含有ガスの流量を徐々に減少させると同時に、第2のカーボン含有ガスの流量を徐々に増加させる。このため、堆積される膜は、処理開始時は第1のカーボン含有ガスの性質が強く、徐々に第2のカーボン含有ガスの性質が強い膜になる。たとえば、図6のように、第1のカーボン膜のエッチングレートが第2のカーボン膜のエッチングレートよりも高い場合、図10の処理により、徐々に下層から上層にむけてエッチングレートが低くなるカーボン膜を堆積することができる。なお、第1のカーボン含有ガスはたとえば、CF系のガス(C4F8、C4F6等)、CHF系のガス(CH2F2、CH3F等)である。また、第2のカーボン含有ガスはたとえば、CH系のガス(CH4等)である。
<処理シーケンス例3>
図11は、変形例1に係るプラズマ処理の処理シーケンスのさらに他の例を示す図である。図11の例では、図7の例と同様の処理ガスを用いて膜を堆積する。しかし、図7の例とは異なり、図11の例では、堆積工程の間、カーボン含有ガスの流量を徐々に減少させると同時に、SiCl4とO2の流量を徐々に増加させる。このため、堆積される膜は、処理開始時はカーボン膜であり、徐々にSiO2膜へと組成が変化していく。このため、図11の処理により、徐々に下層から上層にむけてエッチングレートが低くなる膜を堆積することができる。
なお、変形例1の各シーケンスも第1の実施形態と同様、所望のLCDUが達成されるまで任意のサイクル数繰り返し実行することができる。
<変形例1の効果>
上記変形例1に係るプラズマ処理方法は、堆積工程とエッチング工程とを含む。プラズマ処理装置は、堆積工程において、所定のパターンを有する複数の開口部が形成された処理対象に、当該処理対象から遠ざかるにつれてエッチングレートが低くなり、かつ、開口部のサイズに応じて開口部の側面への堆積量が異なる処理条件で膜を堆積する。プラズマ処理装置は、エッチング工程において、膜が堆積された処理対象のエッチングを実行する。このため、変形例1に係るプラズマ処理方法によれば、1つの膜を処理条件を変えて堆積することによりエッチングレートの高低差をつけることができる。このため、変形例1に係るプラズマ処理方法によれば、少ない工程数でLCDUを改善することができる。
また、上記変形例1に係るプラズマ処理方法によれば、プラズマ処理装置は堆積工程において、供給する複数のガスの比率を徐々に変化させることにより連続的にエッチングレートが変化する膜を堆積する。たとえば、プラズマ処理装置は、供給するガスの酸素含有量を徐々に増加させる。このため、変形例1によれば、プラズマ処理装置は、簡易な処理によってLCDUを改善することができる。
また、上記変形例1に係るプラズマ処理方法において、堆積工程およびエッチング工程は、所定条件が満足されたと判定されるまで、繰り返し実行される。このため、変形例1によれば、所望のレベルにLCDUを改善することができる。
<変形例2−改質によるエッチングレートの調整>
上記変形例1では、膜を形成する際の成分の流量を変えることにより一つの膜の中でエッチングレートを変化させた。変形例2では、形成した膜に対して改質処理を実行して第1の膜とすることで第1の膜と第2の膜のエッチングレートに差をつける。
図12は、変形例2に係るプラズマ処理の流れの一例を示すフローチャートである。変形例2に係るプラズマ処理はたとえば後述するプラズマ処理装置(図15参照)が実行する。
まず、第1の実施形態に係るプラズマ処理(図1参照)と同様、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。プラズマ処理装置は、第1工程を実行する(ステップS1201)。プラズマ処理装置は、第1工程においてまず、パターン上に膜を堆積する。次に、プラズマ処理装置は、堆積した膜の改質処理を実行する。改質処理は、膜の表面を脆くする等改質することによって、膜のエッチングレートを高くする処理である。これで第1の膜が形成される。次に、プラズマ処理装置は、第2工程を実行する(ステップS1202)。第2工程においては、プラズマ処理装置は、CVD等により第1の膜の上に第2の膜を堆積する。なお、第2工程は、第1の実施形態と同様、ローディング効果が得られる条件で実行する。次に、プラズマ処理装置はエッチング工程を実行する(ステップS1203)。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS1204)。所定の条件を満足する状態となっていないと判定した場合(ステップS1204、No)、プラズマ処理装置は、ステップS1201に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS1204、Yes)、プラズマ処理装置は処理を終了する。これが変形例2に係るプラズマ処理の流れの一例である。
改質処理はたとえば、膜の材料となるガスを供給しない状態でプラズマを生成する処理である。たとえば第1工程においてまず、窒化膜(SiN)を堆積する。その後、水素(H2)のプラズマを生成し、窒化膜をHプラズマに晒す。この処理により膜表面が脆くなるため、エッチングレートが高くなる。ただし、膜種とプラズマを生成する際のガス種との組み合わせはこれに限定されない。たとえば、第1工程において酸化膜(SiO2)を堆積した後、水素(H2)のプラズマを生成して酸化膜をHプラズマに晒すことで改質処理を実行することもできる。
なお、改質処理は、ローディング効果を利用して実行してもよいしローディング効果を利用せずに実行してもよい。ローディング効果を利用する場合は、開口寸法が大きいほど改質度合または改質される表面からの深さが大きくなる。上記窒化膜をHプラズマで改質する場合には、表面積が広い部分の方がプラズマに曝露される度合いが高くなるため、開口寸法が大きいほど改質度合または改質深さを大きくすることができる。
<変形例2の効果>
上記変形例2に係るプラズマ処理方法は、第1工程と、第2工程と、エッチング工程と、を含む。第1工程において、プラズマ処理装置は、所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する。第2工程において、プラズマ処理装置は、第1の膜が形成された処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、第1の膜よりもエッチングレートが低い第2の膜を形成する。エッチング工程において、プラズマ処理装置は、第2の膜の上から、処理対象の少なくとも一部において第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行する。そして、変形例2において、プラズマ処理装置は、第1工程において、処理対象に堆積された膜に改質処理を施すことで第2の膜よりもエッチングレートが高い第1の膜を形成する。改質処理はたとえば、所定の処理条件下で膜をプラズマに曝露する工程である。このため、変形例2によれば、同種の膜を第1、第2の膜として堆積しつつ、改質処理によってエッチングレートに差をつけることができる。
<変形例3−改質処理による第2の膜の形成>
上記変形例2においては、改質処理を施すことで第1の膜と第2の膜のエッチングレートに差を出した。変形例3においては、堆積する膜は1層としつつ、当該膜の堆積後に改質処理を施すことにより、エッチングレートが異なる2つの膜を堆積するのと同等の効果を得る。
図13は、変形例3に係るプラズマ処理の流れの一例を示すフローチャートである。変形例3に係るプラズマ処理は後述するプラズマ処理装置(図15参照)が実行する。
まず、第1の実施形態に係るプラズマ処理(図1参照)と同様、所定のパターンを有する複数の開口部が形成された処理対象(たとえばウェハ)を、プラズマ処理が実行される空間内に配置する。プラズマ処理装置は、第1工程を実行する(ステップS1301)。プラズマ処理装置は、第1工程においてまず、パターン上に膜を堆積する。ここで堆積する膜の種類は特に限定されないが、たとえば、同一種類のガスを用い処理条件を途中で変更することなくCVDを実行して形成される。次に、プラズマ処理装置は、第2工程を実行する(ステップS1302)。プラズマ処理装置は、第2工程において、第1工程で形成された膜に対して改質処理を実行する。改質処理は、第1工程で形成された膜の表面のエッチングレートを低くするための処理である。また、改質処理は、ローディング効果が出る条件で実行される。すなわち、開口寸法が大きいほど改質度合または改質される表面からの深さが大きくなる条件で実行される。次に、プラズマ処理装置は、エッチング工程を実行する(ステップS1303)。そして、プラズマ処理装置は、処理対象が所定の条件を満足する状態となったか否かを判定する(ステップS1304)。所定の条件を満足する状態となっていないと判定した場合(ステップS1304、No)、プラズマ処理装置は、ステップS1301に戻って処理を繰り返す。他方、所定の条件を満足する状態となったと判定した場合(ステップS1304、Yes)、プラズマ処理装置は処理を終了する。これが変形例3に係るプラズマ処理の流れの一例である。
図14は、変形例3に係るプラズマ処理の処理シーケンスの一例を示す図である。図14の例では、プラズマ処理装置は、第1工程(CVD)の後、第2工程として改質(modify)工程を実行する。その後、プラズマ処理装置は、エッチング工程を実行する。図14の例における第1工程では、プラズマ処理装置は、処理ガスとしてメタン(CH4)およびオクタフルオロシクロブタン(C4F8)を使用して膜を堆積する。次の第2工程では、プラズマ処理装置は、CH4およびC4F8の供給を止めて希ガスたとえばアルゴン(Ar)やヘリウム(He)、窒素(N2)、水素(H2)等を供給しプラズマ化させる。第1工程で堆積された膜はプラズマに曝露されることによって、押し固められ密度が上昇する。このため、第2工程によって膜が硬くなりエッチングレートが低下する。このとき、第1工程で堆積された膜は、開口寸法が広い部分ほどプラズマに曝露される度合が大きいため、開口寸法に応じて改質度合または改質深さが異なる。このため、第1の実施形態等においてローディング効果を利用して第2の膜を堆積したときと実質的に同様のローディング効果を得ることができる。第2工程の後、プラズマ処理装置はO2を供給して改質後の膜のエッチングを実行する。
なお、図14に示す処理において使用できるガス種は、C4F8およびCH4に限定されない。第1工程においてたとえば、シリコンやカーボンを含有するガス種を用いて膜を堆積してもよい。そして、第2工程において、シリコンやカーボンを含有するガス種の供給を停止した上で、希ガス(Ar等)、水素ガス(H2)、窒素ガス(N2)等を供給してプラズマを生成してもよい。なお、第1工程において実行するCVDはプラズマCVDであってよい。
<変形例3の効果>
上記変形例3に係るプラズマ処理方法は、第2工程において、第1の膜に改質処理を施して第1の膜を改質することで第2の膜を形成する。また、改質処理は、サイズの大きい開口部ほどプラズマにより改質される表面からの深さまたは改質度合が大きくなる処理条件下で第1の膜をプラズマに曝露する。このため、変形例3に係るプラズマ処理方法によれば、ローディング効果を利用して膜の性質を変化させることで膜のエッチングレートに変化をつけることができる。このため、変形例3によれば、一つの膜を利用して、二つの膜を利用する第1の実施形態等と同等の効果を得ることができる。
また、変形例3に係るプラズマ処理方法において、堆積工程およびエッチング工程は、所定条件が満足されたと判定されるまで繰り返し実行される。このため、変形例3に係るプラズマ処理方法によれば、工程の繰り返し数を調整して、所望のLCDU改善効果を得ることができる。
<一実施形態に係るプラズマ処理装置の例>
上記第1の実施形態、変形例1乃至3に係るプラズマ処理方法は、以下に説明するプラズマ処理装置1を用いて実行することができる。
一実施形態に係るプラズマ処理装置1について、図15を参照して説明する。図15は、一実施形態に係るプラズマ処理装置1の縦断面の一例を示す図である。本実施形態に係るプラズマ処理装置1では、半導体ウェハのプラズマエッチング、成膜、スパッタなどの所望のプラズマ処理が行われる。本実施形態に係るプラズマ処理装置1は、チャンバ10内に載置台20とガスシャワーヘッド25とを対向配置した平行平板型のプラズマ処理装置(容量結合型プラズマ処理装置)である。載置台20は下部電極としても機能し、ガスシャワーヘッド25は上部電極としても機能する。
プラズマ処理装置1は、例えば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなる円筒形のチャンバ10を有している。チャンバ10は、電気的に接地されている。チャンバ10の底部には、半導体ウェハ(以下、単に「ウェハW」という。)を載置するための載置台20が設けられている。ウェハWは、処理対象の一例である。載置台20は、ウェハWを静電吸着力により保持する静電チャック106と、静電チャック106を支持する基台104とを有する。基台104は、例えばアルミニウム(Al)やチタン(Ti)、炭化ケイ素(SiC)等から形成されている。
基台104の上面には、ウェハを静電吸着するための静電チャック106が設けられている。静電チャック106は、絶縁体106bの間にチャック電極106aを挟み込んだ構造になっている。チャック電極106aには直流電圧源112が接続され、直流電圧源112からチャック電極106aに直流電圧HVが印加されることにより、静電気力によってウェハWが静電チャック106に吸着される。静電チャック106の上面には、ウェハWを保持するための保持面と、保持面よりも高さが低い部分である周縁部とが形成されている。静電チャック106の保持面に、ウェハWが載置される。以下では、静電チャック106の保持面を「載置台20の載置面」と適宜表記するものとする。
静電チャック106の周縁部には、載置台20の載置面に載置されたウェハWを囲むようにフォーカスリング108が配置されている。フォーカスリング108は、例えばシリコンや石英から形成されている。フォーカスリング108は、エッチングの面内均一性を高めるように機能する。
また、載置台20(基台104)の内部には、冷媒流路104aが形成されている。冷媒流路104aには、冷媒入口配管104b及び冷媒出口配管104cが接続されている。チラー107から出力された例えば冷却水やブライン等の冷却媒体(以下、「冷媒」ともいう。)は、冷媒入口配管104b、冷媒流路104a及び冷媒出口配管104cを通流して循環する。冷媒により、載置台20及び静電チャック106は冷却される。
伝熱ガス供給源85は、ヘリウムガス(He)等の伝熱ガスをガス供給ライン130に通して静電チャック106上のウェハWの裏面に供給する。かかる構成により、静電チャック106は、冷媒流路104aに循環させる冷媒と、ウェハWの裏面に供給する伝熱ガスとによって温度制御される。
載置台20には、2周波重畳電力を供給する電力供給装置30が接続されている。電力供給装置30は、第1周波数の第1高周波電力(プラズマ生起用高周波電力)を供給する第1高周波電源32と、第1周波数よりも低い第2周波数の第2高周波電力(バイアス電圧発生用高周波電力)を供給する第2高周波電源34とを有する。第1高周波電源32は、第1整合器33を介して載置台20に電気的に接続される。第2高周波電源34は、第2整合器35を介して載置台20に電気的に接続される。第1高周波電源32は、例えば、40MHzの第1高周波電力を載置台20に印加する。第2高周波電源34は、例えば、400kHzの第2高周波電力を載置台20に印加する。なお、本実施形態では、第1高周波電力は載置台20に印加されるが、ガスシャワーヘッド25に印加されてもよい。
第1整合器33は、第1高周波電源32の内部(または出力)インピーダンスに負荷インピーダンスを整合させる。第2整合器35は、第2高周波電源34の内部(または出力)インピーダンスに負荷インピーダンスを整合させる。第1整合器33は、チャンバ10内にプラズマが生成されているときに第1高周波電源32の内部インピーダンスと負荷インピーダンスとが見かけ上一致するように機能する。第2整合器35は、チャンバ10内にプラズマが生成されているときに第2高周波電源34の内部インピーダンスと負荷インピーダンスとが見かけ上一致するように機能する。
ガスシャワーヘッド25は、その周縁部を被覆するシールドリング40を介してチャンバ10の天井部の開口を閉塞するように取り付けられている。ガスシャワーヘッド25は、図15に示すように電気的に接地してもよい。また、可変直流電源を接続してガスシャワーヘッド25に所定の直流(DC)電圧が印加されるようにしてもよい。
ガスシャワーヘッド25には、ガスを導入するガス導入口45が形成されている。ガスシャワーヘッド25の内部にはガス導入口45から分岐したセンター部の拡散室50a及びエッジ部の拡散室50bが設けられている。ガス供給源15から出力されたガスは、ガス導入口45を介して拡散室50a、50bに供給され、拡散室50a、50bにて拡散されて多数のガス供給孔55から載置台20に向けて導入される。
チャンバ10の底面には排気口60が形成されており、排気口60に接続された排気装置65によってチャンバ10内が排気される。これにより、チャンバ10内を所定の真空度に維持することができる。チャンバ10の側壁にはゲートバルブGが設けられている。ゲートバルブGは、チャンバ10からウェハWの搬入及び搬出を行う際に搬出入口を開閉する。
プラズマ処理装置1には、装置全体の動作を制御する制御部100が設けられている。制御部100は、CPU(Central Processing Unit)105、ROM(Read Only Memory)110及びRAM(Random Access Memory)115を有している。CPU105は、これらの記憶領域に格納された各種レシピに従って、後述されるプラズマ処理等の所望の処理を実行する。レシピにはプロセス条件に対する装置の制御情報であるプロセス時間、圧力(ガスの排気)、高周波電力や電圧、各種ガス流量、チャンバ内温度(上部電極温度、チャンバの側壁温度、ウェハW温度(静電チャック温度)など)、チラー107から出力される冷媒の温度などが記載されている。なお、これらのプログラムや処理条件を示すレシピは、ハードディスクや半導体メモリに記憶されてもよい。また、レシピは、CD(Compact Disc)−ROM、DVD(Digital Versatile Disc)等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で所定位置にセットされ、読み出されるようにしてもよい。
例えば、制御部100は、上述したプラズマ処理方法を行うようにプラズマ処理装置1の各部を制御する。
また、上記実施形態に係るプラズマ処理は、容量結合型プラズマ(CCP:Capacitively Coupled Plasma)処理装置だけでなく、その他のプラズマ処理装置に適用可能である。その他のプラズマ処理装置は、例えば、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)処理、ラジアルラインスロットアンテナを用いたプラズマ処理装置、ヘリコン波励起型プラズマ(HWP:Helicon Wave Plasma)処理装置、電子サイクロトロン共鳴プラズマ(ECR:Electron Cyclotron Resonance Plasma)処理装置等であっても良い。
今回開示された実施形態は全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
1 プラズマ処理装置
10 チャンバ
15 ガス供給源
20 載置台
25 ガスシャワーヘッド
32 第1高周波電源
34 第2高周波電源
65 排気装置
85 伝熱ガス供給源
100 制御部
104 基台
104a 冷却流路
106 静電チャック

Claims (12)

  1. 所定のパターンを有する複数の開口部が形成された処理対象に第1の膜を形成する第1工程と、
    前記第1の膜が形成された前記処理対象に、開口部のサイズに応じて開口部の側面における膜厚が異なり、前記第1の膜よりもエッチングレートが低い第2の膜を形成する第2工程と、
    前記第2の膜の上から、前記処理対象の少なくとも一部において前記第1の膜の一部が除去されるまで、所定の処理条件でエッチングを実行するエッチング工程と、
    を含むプラズマ処理方法。
  2. 前記第1工程は、前記処理対象に堆積された膜に改質処理を施すことで前記第2の膜よりもエッチングレートが高い前記第1の膜を形成する、請求項1に記載のプラズマ処理方法。
  3. 前記改質処理は、所定の処理条件下で前記膜をプラズマに曝露する、請求項2に記載のプラズマ処理方法。
  4. 前記第2工程は、前記第1の膜に改質処理を施して改質することで前記第2の膜を形成する、請求項1に記載のプラズマ処理方法。
  5. 前記改質処理は、サイズの大きい開口部ほどプラズマにより改質される表面からの深さまたは改質度合が大きくなる処理条件下で前記第1の膜をプラズマに曝露する、請求項4に記載のプラズマ処理方法。
  6. 前記エッチング工程において、前記処理対象の少なくとも一部において前記第1の膜が露出した時点で、前記所定の処理条件を第1の処理条件から第2の処理条件に変更する請求項1から5のいずれか1項に記載のプラズマ処理方法。
  7. 前記第1工程、前記第2工程および前記エッチング工程は、所定条件が満足されたと判定されるまで、繰り返し実行される、請求項1から6のいずれか1項に記載のプラズマ処理方法。
  8. 所定のパターンを有する複数の開口部が形成された処理対象に、当該処理対象から遠ざかるにつれてエッチングレートが低くなり、かつ、開口部のサイズに応じて開口部の側面への堆積量が異なる処理条件で膜を堆積する堆積工程と、
    前記膜が堆積された処理対象のエッチングを実行するエッチング工程と、
    を含むプラズマ処理方法。
  9. 前記堆積工程において、供給する複数のガスの比率を徐々に変化させることにより連続的にエッチングレートが変化する前記膜を堆積する、請求項8に記載のプラズマ処理方法。
  10. 前記堆積工程において、供給するガスの酸素含有量を徐々に増加させる、請求項9に記載のプラズマ処理方法。
  11. 前記堆積工程および前記エッチング工程は、所定条件が満足されたと判定されるまで、繰り返し実行される、請求項8から10のいずれか1項に記載のプラズマ処理方法。
  12. 請求項1から11のいずれか1項に記載のプラズマ処理方法を実行するプログラムを記憶する記憶部と、当該プログラムを実行するよう制御する制御部と、
    を備えるプラズマ処理装置。
JP2018141742A 2018-07-27 2018-07-27 プラズマ処理方法およびプラズマ処理装置 Active JP7066565B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2018141742A JP7066565B2 (ja) 2018-07-27 2018-07-27 プラズマ処理方法およびプラズマ処理装置
CN201910670570.4A CN110783190B (zh) 2018-07-27 2019-07-24 等离子体处理方法和等离子体处理装置
US16/522,933 US11289339B2 (en) 2018-07-27 2019-07-26 Plasma processing method and plasma processing apparatus
TW108126442A TWI811409B (zh) 2018-07-27 2019-07-26 電漿處理方法及電漿處理裝置
KR1020190091169A KR20200012796A (ko) 2018-07-27 2019-07-26 플라즈마 처리 방법 및 플라즈마 처리 장치
US17/669,944 US20220165579A1 (en) 2018-07-27 2022-02-11 Plasma processing method and plasma processing apparatus
JP2022071809A JP7278456B2 (ja) 2018-07-27 2022-04-25 プラズマ処理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018141742A JP7066565B2 (ja) 2018-07-27 2018-07-27 プラズマ処理方法およびプラズマ処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022071809A Division JP7278456B2 (ja) 2018-07-27 2022-04-25 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2020017709A true JP2020017709A (ja) 2020-01-30
JP7066565B2 JP7066565B2 (ja) 2022-05-13

Family

ID=69177944

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018141742A Active JP7066565B2 (ja) 2018-07-27 2018-07-27 プラズマ処理方法およびプラズマ処理装置

Country Status (5)

Country Link
US (2) US11289339B2 (ja)
JP (1) JP7066565B2 (ja)
KR (1) KR20200012796A (ja)
CN (1) CN110783190B (ja)
TW (1) TWI811409B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023069305A1 (en) * 2021-10-18 2023-04-27 Applied Materials, Inc. Methods of forming metal nitride films
KR20230164100A (ko) 2021-04-01 2023-12-01 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0448752A (ja) * 1990-06-15 1992-02-18 Nec Corp 半導体装置およびその製造方法
WO2005045916A1 (ja) * 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
JP2005129893A (ja) * 2003-09-29 2005-05-19 Tokyo Electron Ltd エッチング方法
US7824975B2 (en) * 2007-12-28 2010-11-02 Hynix Semiconductor Inc. Method of fabricating semiconductor device having gate spacer layer with uniform thickness

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW367578B (en) * 1998-04-18 1999-08-21 United Microelectronics Corp Manufacturing method for unlanded via
KR100823949B1 (ko) * 2005-06-30 2008-04-22 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭 방법 및 장치
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5530088B2 (ja) * 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8470713B2 (en) * 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US9123542B2 (en) * 2011-09-05 2015-09-01 Spp Technologies Co., Ltd. Plasma etching method
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR102006321B1 (ko) * 2014-04-14 2019-08-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
JP6763750B2 (ja) * 2016-11-07 2020-09-30 東京エレクトロン株式会社 被処理体を処理する方法
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
JP7071850B2 (ja) * 2017-05-11 2022-05-19 東京エレクトロン株式会社 エッチング方法
JP6415636B2 (ja) * 2017-05-25 2018-10-31 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6913569B2 (ja) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
JP7145031B2 (ja) * 2017-12-25 2022-09-30 東京エレクトロン株式会社 基板を処理する方法、プラズマ処理装置、及び基板処理装置
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
JP7025952B2 (ja) * 2018-02-16 2022-02-25 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10916420B2 (en) * 2018-06-07 2021-02-09 Tokyo Electron Limited Processing method and plasma processing apparatus
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
JP2021028968A (ja) * 2019-08-13 2021-02-25 東京エレクトロン株式会社 基板および基板処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0448752A (ja) * 1990-06-15 1992-02-18 Nec Corp 半導体装置およびその製造方法
JP2005129893A (ja) * 2003-09-29 2005-05-19 Tokyo Electron Ltd エッチング方法
WO2005045916A1 (ja) * 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
US7824975B2 (en) * 2007-12-28 2010-11-02 Hynix Semiconductor Inc. Method of fabricating semiconductor device having gate spacer layer with uniform thickness

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230164100A (ko) 2021-04-01 2023-12-01 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
WO2023069305A1 (en) * 2021-10-18 2023-04-27 Applied Materials, Inc. Methods of forming metal nitride films
US11978625B2 (en) 2021-10-18 2024-05-07 Applied Materials, Inc. Methods of forming metal nitride films

Also Published As

Publication number Publication date
KR20200012796A (ko) 2020-02-05
TW202013500A (zh) 2020-04-01
CN110783190A (zh) 2020-02-11
TWI811409B (zh) 2023-08-11
US20220165579A1 (en) 2022-05-26
US11289339B2 (en) 2022-03-29
JP7066565B2 (ja) 2022-05-13
US20200035503A1 (en) 2020-01-30
CN110783190B (zh) 2024-05-28

Similar Documents

Publication Publication Date Title
CN110783190B (zh) 等离子体处理方法和等离子体处理装置
TWI492297B (zh) 電漿蝕刻方法、半導體裝置之製造方法、及電漿蝕刻裝置
US11658036B2 (en) Apparatus for processing substrate
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
JP6587580B2 (ja) エッチング処理方法
TW202008458A (zh) 循環蝕刻製程
TWI789449B (zh) 基板之電漿蝕刻方法
JP6050944B2 (ja) プラズマエッチング方法及びプラズマ処理装置
TWI766866B (zh) 蝕刻方法
JP2022529610A (ja) 多重スペーサパターニングのスキーム
TWI713486B (zh) 蝕刻方法(二)
CN101523577A (zh) 硅氧化膜的形成方法,等离子体处理装置以及存储介质
JP7278456B2 (ja) プラズマ処理装置
JP4504684B2 (ja) エッチング方法
CN110783187B (zh) 等离子体处理方法和等离子体处理装置
JP2021515394A (ja) 空隙を形成するためのシステム及び方法
TW202213505A (zh) 蝕刻方法及電漿處理裝置
JP7418632B2 (ja) プラズマ処理装置
JP2008172184A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
JP7417627B2 (ja) ダイヤモンドフィルムのトライボロジー特性の改善
JP7257883B2 (ja) プラズマ処理方法およびプラズマ処理装置
JP2020077753A (ja) 処理方法及び基板処理装置
JP2023065412A (ja) 基板処理装置
JP2019134107A (ja) エッチング方法及びエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220324

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220427

R150 Certificate of patent or registration of utility model

Ref document number: 7066565

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150