JP2020077753A - 処理方法及び基板処理装置 - Google Patents

処理方法及び基板処理装置 Download PDF

Info

Publication number
JP2020077753A
JP2020077753A JP2018210072A JP2018210072A JP2020077753A JP 2020077753 A JP2020077753 A JP 2020077753A JP 2018210072 A JP2018210072 A JP 2018210072A JP 2018210072 A JP2018210072 A JP 2018210072A JP 2020077753 A JP2020077753 A JP 2020077753A
Authority
JP
Japan
Prior art keywords
gas
taper angle
processing method
mask layer
time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018210072A
Other languages
English (en)
Other versions
JP7195113B2 (ja
JP2020077753A5 (ja
Inventor
正太 吉村
Shota Yoshimura
正太 吉村
清仁 伊藤
Kiyohito Ito
清仁 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2018210072A priority Critical patent/JP7195113B2/ja
Priority to TW108139225A priority patent/TWI826563B/zh
Priority to US16/671,407 priority patent/US11380545B2/en
Priority to KR1020190140601A priority patent/KR20200052844A/ko
Priority to CN201911082523.4A priority patent/CN111162006A/zh
Publication of JP2020077753A publication Critical patent/JP2020077753A/ja
Publication of JP2020077753A5 publication Critical patent/JP2020077753A5/ja
Application granted granted Critical
Publication of JP7195113B2 publication Critical patent/JP7195113B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】マスクパターンのばらつきを抑制することを提供する。【解決手段】被エッチング膜の上にパターン化されたマスク層に堆積物を堆積させる第1の工程と、前記マスク層の一部又は前記堆積物の一部の少なくともいずれかを除去する第2の工程と、を有し、前記第1の工程と前記第2の工程とを1回以上繰り返し、前記マスク層のパターンの側面のテーパ角を所望の角度にする、処理方法が提供される。【選択図】図2

Description

本開示は、処理方法及び基板処理装置に関する。
例えば、特許文献1は、ホール又はラインのパターンの凹部に堆積物を堆積させる工程と、ホール又はラインのパターンの凸部をエッチングにより除去する工程とを有し、パターンの凹凸を減少させることを提案している。
例えば、特許文献2は、パターン形成済みフォトレジストマスクを提供し、フォトレジストマスク上に被覆を配置し、エッチング層に特徴部をエッチングし、マスクを除去することを提案している。
米国特許第9922839号明細書 特開2010−516059号公報
本開示は、マスクパターンのばらつきを抑制することができる技術を提供する。
本開示の一の態様によれば、被エッチング膜の上にパターン化されたマスク層に堆積物を堆積させる第1の工程と、前記マスク層の一部又は前記堆積物の一部の少なくともいずれかを除去する第2の工程と、を有し、前記第1の工程と前記第2の工程とを1回以上繰り返し、前記マスク層のパターンの側面のテーパ角を所望の角度にする、処理方法が提供される。
一の側面によれば、マスクパターンのばらつきを抑制することができる。
一実施形態に係る基板処理装置の一例を示す縦断面図。 一実施形態に係る基板の処理工程の一例を示す図。 一実施形態に係る基板の処理方法の一例を示すフローチャート。 一実施形態に係るサイクル数とパターンのばらつきを示す実験結果例。 一実施形態に係るパターンのテーパ角とパターンのばらつきを示す実験結果例。 一実施形態に係る堆積工程及び除去工程における時間依存性を示す実験結果例。 一実施形態に係る堆積工程におけるガス依存性を示す実験結果例。 一実施形態に係る堆積工程におけるガス依存性を示す実験結果例。 一実施形態に係る堆積工程における圧力依存性を示す実験結果例。 一実施形態に係る堆積工程における温度依存性を示す実験結果例。 一実施形態に係る基板処理におけるテーパ角の調整を説明するための図。 一実施形態に係る基板処理におけるテーパ角の調整を説明するための図。
以下、本開示を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
[基板処理装置の全体構成]
まず、基板処理装置1の一例について、図1を参照しながら説明する。一実施形態にかかる基板処理装置1は、容量結合型の平行平板の基板処理装置であり、略円筒形の処理容器2を有している。処理容器2の内部は、プラズマによりエッチング処理や成膜処理等のプラズマ処理が行われる処理室となっている。処理容器2の内面は、アルマイト処理(陽極酸化処理)されている。
ステージ3は、処理容器2の内部に設けられ、基板の一例であるウェハWを載置する。ステージ3は、たとえばアルミニウム(Al)やチタン(Ti)、炭化ケイ素(SiC)等から形成されている。ステージ3は、処理容器2の底部に保持され、下部電極としても機能する。
ステージ3は、基台12と、基台12上の静電チャック10とを有する。静電チャック10は、絶縁体10bの間にチャック電極10aを挟み込んだ構造になっている。直流電源30は、チャック電極10aと接続し、スイッチ31の開閉に応じてチャック電極10aへ直流電圧の印加及び印加の停止が行われる。これにより、直流電圧がチャック電極10aに印加されると、クーロン力によってウェハWが静電チャック10に吸着される。
エッジリング(「フォーカスリング」とも呼ばれる。)11は円環状であり、静電チャック10の外周側にてウェハWの周囲に設けられる。エッジリング11は、例えば、シリコンから形成され、プラズマをウェハWの上方に収束し、プラズマ処理の効率を向上させるように機能する。
冷媒流路12aは、基台12の内部に形成されている。例えば冷却水やブライン等の冷却媒体(以下、「冷媒」ともいう。)は、チラー36から出力され、冷媒入口配管12bから冷媒流路12aを流れ、冷媒出口配管12cから出てチラー36へ戻される。これにより、ステージ3は抜熱され、冷却される。
伝熱ガス供給源37は、ヘリウムガス(He)等の伝熱ガスを伝熱ガス供給ライン16に通して静電チャック10の表面とウェハWの裏面との間に供給する。静電チャック10の温度は、冷媒流路12aを循環する冷媒と、ウェハWの裏面に供給する伝熱ガスとによって制御される。この結果、ウェハWを所定の温度に制御できる。
高周波電源34は、整合器35を介してステージ3に接続され、第2周波数のバイアス電圧発生用の高周波電力LFをステージ3に印加する。第2周波数は、例えば13.56MHzであってもよい。整合器35は、高周波電源34の内部(または出力)インピーダンスに負荷インピーダンスを整合させる。
シャワーヘッド20は、その外縁部を被覆するシールドリング21を介して処理容器2の天井部の開口を閉塞するように取り付けられている。シャワーヘッド20は、シリコンにより形成されてもよい。高周波電源32は、整合器33を介してシャワーヘッド20に接続され、第2周波数よりも高い第1周波数のプラズマ生成用の高周波電力HFをシャワーヘッド20に印加する。第1周波数は、例えば60MHzであってもよい。また、高周波電力HFは、ステージ3に印加されてもよい。
可変直流電源26は、シャワーヘッド20に接続され、負の直流電圧(DC)をシャワーヘッド20に印加する。シャワーヘッド20は、ステージ3(下部電極)に対向する対向電極(上部電極)としても機能する。整合器33は、高周波電源32の内部(または出力)インピーダンスに負荷インピーダンスを整合させる。
ガス供給源23は、ガス導入口22を介してプラズマ処理に使用するガスをセンター側の拡散室24a及びエッジ側の拡散室24bに供給する。センター側の拡散室24a及びエッジ側の拡散室24bの内部で拡散されたガスは、ガス供給孔25からステージ3に導入される。
排気口18は、処理容器2の底面に形成されている。排気装置38は、排気口18に接続し、処理容器2内を排気する。これにより、処理容器2内が所定の真空度に維持される。ゲートバルブ17は、処理容器2の側壁にて搬送口19を開閉し、未処理のウェハWを処理容器2へ搬入又は処理容器2から搬出する。
制御部40は、装置全体の動作を制御する。制御部40は、CPU41、ROM42及びRAM43を有する。CPU41は、ROM42又はRAM43に記憶されたレシピに従い、プラズマを用いてエッチングや成膜等のプラズマ処理を制御する。レシピは、プロセス条件に対する装置の制御情報であるプロセス時間、圧力(ガスの排気)、高周波電力や電圧、各種ガス流量、処理容器内温度(上部電極温度、処理容器の側壁温度、ウェハW温度、静電チャック温度等)、冷媒温度等を設定する。なお、レシピは、ハードディスク、半導体メモリ、CD−ROM、DVD等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で所定位置にセットされ、読み出されるようにしてもよい。
プラズマ処理を実行する際、制御部40は、ゲートバルブ17を開いて搬送口19からウェハWを搬入し、ステージ3に載置させる。制御部40は、チャック電極10aに正又は負の極性の直流電圧を印加し、ウェハWを静電チャック10に吸着する。
制御部40は、ガス供給源23から処理容器2内に所望のガスを供給し、シャワーヘッド20に高周波電力HFと負の直流電圧を印加し、ステージ3に高周波電力LFを印加する。これにより、ウェハWの上方にてガスが乖離してプラズマが生成され、ウェハWにプラズマ処理が施される。
プラズマ処理後、制御部40は、チャック電極10aにウェハWの吸着時とは正負の極性が逆の直流電圧を印加し、ウェハWの電荷の除電を制御する。除電後、制御部40は、ウェハWを静電チャック10から剥がし、ゲートバルブ17を開いて搬送口19から処理容器2の外に搬出する。
[パターンの微細化]
ウェハW上に形成される半導体デバイスの微細化が進むにつれて、配線又はコンタクト抵抗が増大している。そのため、配線及びコンタクト抵抗のばらつきがデバイス性能のばらつきに影響するようになってきており、そのばらつきを抑制することが重要になっている。
そこで、以下に説明する一実施形態に係る基板の処理方法では、コンタクトホールパターンのLocal Critical Dimension Uniformity(以下、「L−CDU」とも表記する。)を改善する。L−CDUは、隣接するコンタクトホールのCD(Critical Dimension)サイズのばらつきを示す。また、ラインパターンにおけるパターンのばらつきを示す指標の一例としては、LWR(line width roughness)、LER(line edge roughness)などが挙げられる。
[基板処理の工程]
図2及び図3を参照して、一実施形態に係る基板の処理工程の一例について説明する。図2は、一実施形態に係る基板の処理工程の一例を示す。図3は、一実施形態に係る基板の処理方法の一例を示すフローチャートである。図3に示す各ステップの処理は、制御部40により制御される。
(初期状態)
図2(a)は、初期状態のウェハW上の積層膜を示す。ウェハWは、シリコン基板100上に順に積層されたシリコン酸化膜102、SOC膜104(Spin On Carbon)、SOG膜106(Spin On Glass)、レジスト膜108を有する。
レジスト膜108は、コンタクトホールのパターン(以下、「マスクパターン」ともいう。)が形成された有機膜であって、パターン化されたマスク層として機能する。SOG膜106、SOC膜104、シリコン酸化膜102は、被エッチング膜の一例である。
図3のステップS1において、制御部40は、まず、図2(a)の形状のウェハWを準備する。
(トリートメント工程)
次に、ステップS2において、制御部40は、レジスト膜108をHガスのプラズマ又はHBrのプラズマによりトリートメントする。図2(b)では、Hガス及びArガスを供給する例が示されている。これにより、レジスト膜108の表面をトリートメントし、パターン形状を良好にすることができる。ただし、ステップS2の処理は省略してもよい。
(サイクルステップ/堆積工程及び除去工程)
図3に戻り、次に、制御部40は、レジスト膜108のマスクパターンのCDサイズを均一にするために、堆積工程と除去工程とを繰り返し実行するサイクルステップを制御する。ステップS3の処理において、制御部40は、レジスト膜108の上面、側面及び底面(SOG膜106の上面)に堆積物を堆積させ(堆積工程)、レジスト膜108のマスクパターンの側面のテーパ角を増加(大きく)させる(図5のテーパ角参照)。テーパ角とは、マスクパターンの側面を通る接線とマスクパターン底部の通る接線によってなす角度である(図5のθ)。この結果、図2(c)に示すように、レジスト膜108の上面、側面及び底面に有機膜の保護膜110が形成される。
次に、図3のステップS4において、制御部40は、堆積工程において堆積させた保護膜110のトリミングによりその一部を除去し(除去工程)、レジスト膜108のマスクパターンの側面のテーパ角を減少させる(図5のテーパ角参照)。
制御部40は、上記サイクルステップを1回以上繰り返し、レジスト膜108のマスクパターンの側面のテーパ角を所望の角度に制御し、CDサイズのばらつきをなくす。この結果、図2(d)に示すように、レジスト膜108の主に側面に残った保護膜110により、レジスト膜108のマスクパターンのCD(例えば、CD1,CD2)のサイズを均一にすることができる。なお、堆積工程は、マスク層に堆積物を堆積させる第1の工程に相当する。除去工程は、マスク層の一部又は堆積物の一部の少なくともいずれかを除去する第2の工程に相当する。なお、第1の工程と第2の工程とは、いずれが先に行われてもよい。
次に、図3のステップS5において、制御部40は、堆積工程と除去工程の両ステップ(以下、「サイクルステップ」ともいう。)を所定回数(以下、「サイクル数」ともいう。)繰り返したかを判定する。
次に、制御部40は、サイクルステップを所定回数繰り返していないと判定した場合、ステップS3〜S5の処理を繰り返す。これにより、レジスト膜108のマスクパターンの側面のテーパ角を所望の角度に制御する。所定回数は、1回以上であって予め設定された回数である。
(エッチング工程)
ステップS5において、制御部40は、サイクルステップを所定回数繰り返したと判定した場合、ステップS6において、SOG膜106をエッチングする。その結果、図2(e)に示すように、レジスト膜108のマスクパターンにSOG膜106がエッチングされ、CDサイズ(例えば、CD1,CD2)が均一なコンタクトホールが形成される。そして、制御部40は、更にSOC膜104、シリコン酸化膜102の順にエッチングする。これにより、図2(f)に示すように、シリコン酸化膜102にCDサイズが均一なコンタクトホールが形成される。次に、図3のステップS7において、制御部40は、ウェハWを処理容器2外に搬出し、本処理を終了する。
なお、処理容器2外に搬出されたウェハWはアッシング装置やウェット洗浄装置に搬送され、シリコン酸化膜102上のSOC膜104やウェハWの残渣物を除去されるが、これに限定されるものではない。例えば、ステップS6の終了後からステップS7の間に、制御部40は、更にSOC膜104をアッシングにより除去してもよい。
[プロセス条件]
以上に説明した基板の処理方法における各工程のプロセス条件に付いて説明する。
(トリートメント工程)
まず、図3のステップS2のトリートメント工程のプロセス条件は以下である。
ガス種類:Hガス、Arガス
ただし、トリートメント工程では、上記ガスに限られず、HBrガス、Arガスを供給してもよい。
(堆積工程)
次に、ステップS3の堆積工程のプロセス条件は以下である。
ガス種類:CHガス、Hガス、Arガス
ただし、堆積工程では、上記ガスに限られず、例えば、CHガスは、その他のハイドロカーボン(CH)ガス、ハイドロフルオロカーボン(CHF)ガス及びフルオロカーボン(CF)ガスの少なくとも一つを含むガスを使用してもよい。堆積工程で使用するガスを第1のガスといい、堆積工程では、第1のガスを用いてプラズマ処理が実行される。第1のガスは、Hガスを含まなくてもよい。また、Arガスに替えてNガスやその他の不活性ガスを使用してもよい。
(除去工程)
次に、ステップS4の除去工程のプロセス条件は以下である。
ガス種類:COガス、CHガス
ただし、除去工程では、上記ガスに限られず、例えば、COガスに替えてOガス、COガス、Nガス、Hガスを使用してもよい。また、CHガスは、その他のハイドロカーボン(CH)ガス、ハイドロフロロカーボン(CHF)ガス、フロロカーボン(CF)ガスであってもよい。
(エッチング工程)
次に、ステップS6のエッチング工程のプロセス条件は以下である。
ガス種類:CHFガス、CFガス
ただし、エッチング工程では、上記ガスに限られない。
[サイクル数]
堆積工程では、コンタクトホールの側面に堆積物を付着させる。このとき、堆積物は狭いホールよりも広いホールにより多く付着する(Loading効果)。本実施形態では、この堆積物のLoading効果を利用する。
除去工程では堆積工程においてコンタクトホールの側面に付着した堆積物を均等に除去する。この堆積工程と除去工程とを繰り返すことでコンタクトホールのCDサイズを揃えてL−CDUを改善することができる。
図4のグラフは、堆積工程と除去工程とを繰り返すときのサイクル数とマスクパターンのばらつきの実験結果の一例を示す。図4(a)及び(b)のグラフの横軸はサイクル数であり、縦軸は図4の右側に示すCD値が異なる4つのパターン1〜4についてのCD値及びL−CDU(3σ)の実験結果である。L−CDU(3σ)は、3σ(σ:標準偏差)の値を示す。
図4(a)の線Aのパターン1(CD=29nm)、線Bのパターン2(CD=26nm)、線CBのパターン3(CD=23nm)、線DBのパターン4(CD=20nm)の初期状態におけるCD値の差分の最大値は9nm(=29nm−20nm)であった。
サイクルステップを繰り返し、サイクル数が増加すると、図4(a)に示すように、CD値の差分の最大値が小さくなり、サイクル数が15回のとき、CD値の差分の最大値は4nm(=26nm−22nm)になった。同様にして、サイクル数が増加すると、図4(b)に示すように、パターンA〜DのいずれにおいてもL−CDU(3σ)の値が小さくなり、サイクル数が15回のとき最もL−CDU(3σ)が改善された。
[テーパ角]
図5の右側に示すように、マスクパターンの側面が垂直のときのテーパ角を90°とする。マスクパターンが逆テーパ形状になるときのテーパ角は90°よりも大きく(増加)、マスクパターンがテーパ形状になるときのテーパ角は90°よりも小さい(減少)と定義する。
図5のグラフは、横軸のマスクパターンのテーパ角に対する縦軸のマスクパターンのばらつき(L−CDU(3σ)/CD)の実験結果の一例を示す。図5の縦軸に示すL−CDU(3σ)/CDは、単位長さ当たりのL−CDU(3σ)である。L−CDUは、3σの値であるが、これに限られない。
ここで、線Yは、サイクルステップ後のテーパ角に対するL−CDU(3σ)/CDを示す。線Zは、SOG膜106のエッチング後のテーパ角に対するL−CDU(3σ)/CDを示す。いずれの場合にも、マスクパターンのテーパ角を85°〜95°に調整するとマスクパターン及びSOG膜106のパターンにばらつきが少ないことがわかる。
L−CDU(3σ)/CDを小さくするには、サイクルステップ後のマスクパターンのテーパ角が85°〜90°になるように調整することが望ましい(枠O内参照)。
図6は、一実施形態に係る堆積工程及び除去工程における時間依存性を示す実験結果の一例である。図6(a)の実験結果では、堆積工程ではマスクパターンの上部に堆積物が付着し易く、堆積工程の時間が長くなるほどテーパ角が増加し、90°よりも大きくなってマスクパターンは逆テーパ形状になることが分かった。
また、図6(b)の実験結果では、除去工程ではマスクパターンの上部の保護膜110を除去し易く、除去工程の時間が長くなるほどテーパ角が減少し、90°よりも小さくなってマスクパターンはテーパ形状になることがわかった。
以上から、堆積工程では、コンタクトホールの側面に堆積物を付着させ、テーパ角を増加させることができ、除去工程では、コンタクトホールの側面の堆積物の一部を除去し、テーパ角を減少させることができる。これにより、堆積工程と除去工程とを繰り返すことで、マスクパターンのテーパ角を制御することができる。また、堆積工程と除去工程とを繰り返すときのサイクル数を制御することで、堆積工程時間と除去工程時間を制御し、これにより、テーパ角を85°〜95°に調整することで、L−CDUを改善することができる。
つまり、制御部40は、堆積工程と除去工程とを繰り返すことで、サイクルステップの処理時間を制御し、サイクルステップ後のテーパ角が85°〜95°になるようにマスク形状を調整することが可能となる。これにより、L−CDUを改善することができる。このようにしてレジスト膜108のCDサイズのばらつきをなくす処理を行うことで、SOG膜106をエッチングする際のCDサイズのばらつきを抑制することができる。更に、SOG膜106をマスクとしてSOC膜104、シリコン酸化膜102を順にエッチングする際のCDサイズのばらつきを抑制することができる。これにより、シリコン酸化膜102のエッチング形状を垂直にするとともにエッチング形状のCDのばらつきをなくすことでデバイス性能を向上させることができる。
[ガス依存性]
次に、堆積工程におけるガス依存性について、図7及び図8を参照しながら説明する。図7及び図8は、一実施形態に係る堆積工程におけるガス依存性を示す実験結果の一例を示す。
図7のグラフの線Eは、堆積工程においてArガス、Hガス、CHガスを使用した場合の堆積工程後のテーパ角に対するL−CDU(3σ)/CDを示す。線Fは、堆積工程においてArガス、CHガスを使用した場合の堆積工程後のテーパ角に対するL−CDU(3σ)/CDを示す。線Gは、堆積工程においてArガス、CHFガスを使用した場合の堆積工程後のテーパ角に対するL−CDU(3σ)/CDを示す。線Hは、堆積工程においてArガス、CHガスを使用した場合の堆積工程後のテーパ角に対するL−CDU(3σ)/CDを示す。線Iは、堆積工程においてArガス、Cガスを使用した場合の堆積工程後のテーパ角に対するL−CDU(3σ)/CDを示す。
これによれば、線E〜線Iに示すいずれのガスを使用しても、テーパ角を85°〜95°に調整することで、L−CDU(3σ)/CDを小さくできることがわかった。つまり、堆積工程においてハイドロカーボン(CH)ガス、ハイドロフルオロカーボン(CHF)ガス及びフルオロカーボン(CF)ガスの少なくとも一つを含む第1のガスのプラズマ処理によってテーパ角を85°〜95°に調整する。これにより、L−CDUを改善できることがわかった。
図8のグラフは、堆積工程における堆積時間に対するテーパ角の実験結果の一例を示す。これによれば、線E〜線Iに示すいずれのガスを使用しても、堆積時間によってテーパ角を制御できることが分かった。つまり、前記第1のガスのプラズマによる処理を行う堆積工程において、サイクル数によって堆積時間を制御することでテーパ角を制御できることがわかった。
特に、図7の線Eに示すArガス、Hガス、CHガス、線Fに示すArガス、CHガス及び線Gに示すArガス、CHFガスは、線Hに示すArガス、CHガス及び線Iに示すArガス、CガスよりもL−CDU(3σ)/CDを小さくできた。以上から、堆積工程に使用する第1のガスのH原子の含有量は、F原子の含有量よりも大きいことが好ましく、テーパ角を85°〜95°に調整するとより好ましいことがわかった。例えば、堆積工程に使用するガスとして、CHガス、CHFガスは、CHガス、Cガスよりも好ましいことがわかった。
[圧力依存性]
次に、堆積工程における圧力依存性について、図9を参照しながら説明する。図9は、一実施形態に係る堆積工程における圧力依存性を示す実験結果の一例を示す。図9(a)のグラフは、処理容器2内の圧力を変動させたときのテーパ角の変化を示す。図9(b)は、処理容器2内の圧力を変動させたときのL−CDU(3σ)/CDの変化を示す。
図9の線Jは、堆積工程においてArガス、Hガス、CHガスを使用した場合の堆積工程後のテーパ角とL−CDU(3σ)/CDを示す。線Kは、堆積工程においてArガス、CHガスを使用した場合の堆積工程後のテーパ角とL−CDU(3σ)/CDを示す。線Lは、堆積工程においてArガス、CHFガスを使用した場合の堆積工程後のテーパ角とL−CDU(3σ)/CDを示す。
これによれば、上記のいずれのガスを使用した場合にも、テーパ角とL−CDU(3σ)/CDとは、圧力の変動によって変動することがわかった。また、図9(a)に示すように、テーパ角が85°〜95°の間になる圧力条件では、図9(b)に示すように、L−CDU(3σ)/CDが概ね11%以下になった。つまり、テーパ角が85°〜95°の間になる圧力条件では、L−CDU(3σ)/CDが良好になることがわかった。他方、L−CDU(3σ)/CDの一部は11%よりも大きくなった。よって、テーパ角が85°〜95°の間になる圧力条件のうち、L−CDU(3σ)/CDが11%以下になる圧力条件に制御することが好ましいことがわかった。
[温度依存性]
次に、堆積工程における温度依存性について、図10を参照しながら説明する。図10は、一実施形態に係る堆積工程における温度依存性を示す実験結果の一例を示す。図10(a)のグラフは、ウェハの温度を変動させたときのテーパ角の変化を示す。図10(b)のグラフは、ウェハの温度を変動させたときのL−CDU(3σ)/CDの変化を示す。図10の線Lに示す堆積工程において使用したガスは、図9の線Lに示す堆積工程において使用したガスと同じであり、堆積工程においてArガス、CHFガスを使用した場合の堆積工程後のテーパ角とL−CDU(3σ)/CDを示す。
これによれば、上記のいずれのガスを使用した場合にも、テーパ角とL−CDU(3σ)/CDとは、温度の変動によって変動することがわかった。また、図10(a)に示すように、テーパ角が85°〜95°の間になる温度条件では、図10(b)に示すように、L−CDU(3σ)/CDは概ね11%以下になった。よって、テーパ角が85°〜95°の間になる温度条件のうち、L−CDU(3σ)/CDが11%以下になる温度条件に制御することが好ましいことがわかった。ただし、図9及び図10において、許容できるL−CDU(3σ)/CDの値は11%以下であったが、これに限られず、11%よりも大きい値や小さい値等、他の閾値を用いてもよい。
[テーパ角の調整]
次に、基板処理のサイクルステップにおけるテーパ角の調整について、図11及び図12を参照しながら説明する。図11及び図12は、一実施形態に係る基板の処理方法におけるテーパ角の調整を説明するための図である。
図11(a)〜図12(e)に示すように、レジスト膜108の初期状態の側面のテーパ角をθとし、堆積工程と除去工程とを所定の回数N(N=サイクル数、Nは1以上の整数)繰り返す。サイクル数がn(n≦N)回目の堆積工程におけるテーパ角の増加分をΔθD,nとし、サイクル数がn回目の除去工程におけるテーパ角の減少分をΔθT,nとしたとき、下記式(1)が成り立つ。また、制御部40は、下記式(1)が成り立つように堆積工程と除去工程とのプロセス条件(処理時間、ガス種類、圧力、温度等)を調整する。
Figure 2020077753
図11(a)〜図12(e)において、D1、D2、D3・・・は堆積工程Dを示し、T1、T2、T3・・・は除去工程Tを示す。堆積工程D1、D2、D3・・・では、レジスト膜108の側面のテーパ角が増加し、除去工程T1、T2、T3・・・では、レジスト膜108の側面のテーパ角が減少する。
式(1)は、図11(a)に示すようにテーパ角の変化量が毎回異なる場合にテーパ角が85°〜95°の間になる条件式が示されている。
式(2)は、図11(b)に示すようにテーパ角の変化量が毎回同じ場合にテーパ角が85°〜95°の間になる条件式が示されている。
85°≦(Δθ−Δθ)×N+θ≦95°・・・(2)
調整するプロセス条件は、堆積工程及び除去工程の処理時間、堆積工程のガス種類、堆積工程の圧力及び堆積工程の温度の少なくともいずれかである。例えば、堆積工程及び除去工程の処理時間は、図6(a)及び(b)のグラフのように予め得られた処理時間(堆積工程時間)とテーパ角との関係を示すデータをROM42又はRAM43に記憶して、CPU41がこれらのデータに基づきプロセス調整してもよい。
また、例えば、堆積工程のガス種類は、図8のグラフのように予め得られたガスの種類毎の処理時間(堆積工程時間)とテーパ角との関係を示すデータをROM42又はRAM43に記憶して、CPU41がこれらのデータに基づきプロセスの調整を行ってもよい。
また、例えば、堆積工程の圧力は、図9(a)のグラフのように予め得られた圧力とテーパ角との関係を示すデータをROM42又はRAM43に記憶して、CPU41がこれらのデータに基づきプロセスの調整を行ってもよい。
また、例えば、堆積工程の温度は、図10(a)のグラフのように予め得られた温度とテーパ角との関係を示すデータをROM42又はRAM43に記憶して、CPU41がこれらのデータに基づきプロセスの調整を行ってもよい。
調整するプロセス条件の実施例として、堆積工程及び除去工程の処理時間、堆積工程のガス種類、堆積工程の圧力及び堆積工程の温度について説明したが、これに限定されるものではない。例えば、除去工程のガス種類、除去工程の圧力及び除去工程の温度、その他の処理パラメータとテーパ角との関係を示すデータを予めROM42又はRAM43に記憶して、CPU41がこれらのデータに基づきプロセスの調整を行ってもよい。
このとき、堆積工程と除去工程とを繰り返す回数が2以上の場合、前記回数がn回目の堆積工程と、n+1回目の堆積工程とのプロセス条件は同じであってもよいし、異なってもよい。
更に、堆積工程と除去工程とを繰り返す回数が2以上の場合、前記回数がn回目の堆積工程と、n+1回目の堆積工程とのプロセス条件が同じ場合及び異なる場合のいずれであっても、前記回数がn回目の除去工程と、n+1回目の除去工程とのプロセス条件は同じであってもよいし、異なってもよい。
以上に説明した処理方法では、最初に堆積工程を行った後、除去工程を行い、その後、堆積工程と除去工程とを複数回繰り返しているが、これに限定されるものではなく、図12(c)に示すように最初に除去工程を行った後、堆積工程を行ってもよい。特に、イニシャルのテーパ角が90°より大きい場合、除去工程によるテーパ角を減少させる工程から始めることになるので、より早い段階で規定の角度に到達することができる。
式(3)は、図12(c)に示すようにテーパ角の変化量が毎回同じ場合にテーパ角が85°〜95°の間になる条件式を示す。
Figure 2020077753
また、堆積工程と除去工程を繰り返す場合、以上に説明した処理方法では、各工程を行う回数は同じであったが、それに限定されるものではない。例えば、図12(d)に示すように最初に堆積工程を行った後、除去工程を行う場合、堆積工程をN回実行するのに対して、除去工程をN−1回実行するようにしてもよい。
式(4)は、図12(d)に示すようにテーパ角の変化量が毎回同じ場合にテーパ角が85°〜95°の間になる条件式を示す。
Figure 2020077753
なお、最初に除去工程を行った後、堆積工程を行う場合、除去工程がN回実行するに対して、堆積工程をN−1回実行するようにしてもよい。
また、n回目の堆積工程を実行している間、およびn回目の除去工程を実行している間において、単一のプロセス条件にて処理しているがこれに限定されるものではない。例えばn回目の堆積工程を実行する間を複数のステップに分けて、ガス種、圧力、温度などのパラメータを切り替えてもよい。また、n回目の除去工程を実行する間を複数のステップに分けて、ガス種、圧力、温度をなどのパラメータを切り替えてもよい。
更に、制御部40は、堆積工程及び除去工程のサイクルステップを、図12(e)に示す2段階に制御してもよい。この場合、1段階目のサイクルステップPでは、制御部40は、レジスト膜108の側面を概ね垂直形状にするように制御する。例えば、図11(c)の例では、制御部40は、テーパ角が85°〜95°の間になるように制御する。その後、2段階目のサイクルステップQでは、制御部40は、レジスト膜108の側面を概ね垂直形状にしつつ、式(5)を満たすように制御する。図12(e)の例では、制御部40は、テーパ角が85°〜95°の間になるように制御しつつ、式(5)を満たすように制御する。
Figure 2020077753
これにより、1段階目のサイクルステップPにてレジスト膜108の側面が概ね垂直形状になるように調整し、2段階目のサイクルステップQにてレジスト膜108の側面の垂直形状を維持しながら、パターン表面の凹凸を減らし、滑らかにすることができる。つまり、1段階目のサイクルステップPではテーパ角を制御することができる。また、2段階目のサイクルステップQの堆積工程では、パターン表面の凹部に優先的にデポを堆積させ、除去工程ではパターン表面の凸部からエッチングを進行させる。これによって、テーパ角を概ね垂直形状に維持しつつ、パターン表面の凹凸を減少させることができる。
今回開示された一実施形態に係る処理方法及び基板処理装置は、すべての点において例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で変形及び改良が可能である。上記複数の実施形態に記載された事項は、矛盾しない範囲で他の構成も取り得ることができ、また、矛盾しない範囲で組み合わせることができる。
本開示の基板処理装置は、Capacitively Coupled Plasma(CCP)、Inductively Coupled Plasma(ICP)、Radial Line Slot Antenna(RLSA)、Electron Cyclotron Resonance Plasma(ECR)、Helicon Wave Plasma(HWP)のどのタイプでも適用可能である。
本明細書では、基板の一例としてウェハWを挙げて説明した。しかし、基板は、これに限らず、FPD(Flat Panel Display)に用いられる各種基板、プリント基板等であっても良い。
1 基板処理装置
2 処理容器
3 ステージ
10 静電チャック
10a チャック電極
11 エッジリング
12 基台
12a 冷媒流路
17 ゲートバルブ
20 シャワーヘッド
22 ガス導入口
23 ガス供給源
25 ガス供給孔
26 可変直流電源
30 直流電源
32、34 高周波電源
33、35 整合器
36 チラー
37 伝熱ガス供給源
38 排気装置
40 制御部
102 シリコン酸化膜
104 SOC膜
106 SOG膜
108 レジスト膜
110 保護膜

Claims (15)

  1. 被エッチング膜の上にパターン化されたマスク層に堆積物を堆積させる第1の工程と、
    前記マスク層の一部又は前記堆積物の一部の少なくともいずれかを除去する第2の工程と、を有し、
    前記第1の工程と前記第2の工程とを1回以上繰り返し、前記マスク層のパターンの側面のテーパ角を所望の角度にする、処理方法。
  2. 前記第1の工程は、前記堆積物を堆積させ、前記マスク層のパターンの側面のテーパ角を増加させ、
    前記第2の工程は、前記堆積物の一部を除去し、前記マスク層のパターンの側面のテーパ角を減少させる、
    請求項1に記載の処理方法。
  3. 前記マスク層の初期状態の側面のテーパ角をθとし、前記第1の工程と前記第2の工程とを所定の回数N(Nは1以上の整数)繰り返し、前記回数がn(n≦N)回目の第1の工程におけるテーパ角の増加分をΔθD,nとし、前記回数がn回目の第2の工程におけるテーパ角の減少分をΔθT,nとしたとき、式(1)が成り立つ、
    Figure 2020077753
    請求項2に記載の処理方法。
  4. 前記式(1)が成り立つように、前記第1の工程と前記第2の工程とのプロセス条件を調整する、
    請求項3に記載の処理方法。
  5. 調整する前記プロセス条件は、前記第1の工程及び前記第2の工程の処理時間、前記第1の工程のガスの種類、前記第1の工程の圧力及び前記第1の工程の温度の少なくともいずれかである、
    請求項4に記載の処理方法。
  6. 前記第1の工程は、ハイドロカーボン(CH)、ハイドロフルオロカーボン(CHF)及びフルオロカーボン(CF)の少なくとも一つを含む第1のガスのプラズマによる処理である、
    請求項1〜5のいずれか一項に記載の処理方法。
  7. 前記第1のガスは、更に水素(H)ガスを含む、
    請求項6に記載の処理方法。
  8. 前記第1のガスのH原子の含有量は、F原子の含有量よりも大きい、
    請求項6又は7に記載の処理方法。
  9. 前記第1の工程の前に、前記マスク層をHガスのプラズマ又はHBrのプラズマによりトリートメントする処理を実行する工程を有する、
    請求項1〜8のいずれか一項に記載の処理方法。
  10. 前記第1の工程と前記第2の工程とを所定の回数N繰り返した後、前記マスク層のパターンに前記被エッチング膜をエッチングする工程を有する、
    請求項1〜9のいずれか一項に記載の処理方法。
  11. 前記第1の工程と前記第2の工程とを繰り返す回数が2以上の場合、前記回数がn回目の第1の工程と、n+1回目の第1の工程とのプロセス条件は同じである、
    請求項1〜10のいずれか一項に記載の処理方法。
  12. 前記第1の工程と前記第2の工程とを繰り返す回数が2以上の場合、前記回数がn回目の第1の工程と、n+1回目の第1の工程とのプロセス条件は異なる、
    請求項1〜10のいずれか一項に記載の処理方法。
  13. 前記第1の工程と前記第2の工程とを繰り返す回数が2以上の場合、前記回数がn回目の第2の工程と、n+1回目の第2の工程とのプロセス条件は同じである、
    請求項1〜12のいずれか一項に記載の処理方法。
  14. 前記第1の工程と前記第2の工程とを繰り返す回数が2以上の場合、前記回数がn回目の第2の工程と、n+1回目の第2の工程とのプロセス条件は異なる、
    請求項1〜12のいずれか一項に記載の処理方法。
  15. 処理容器と、前記処理容器内にて被エッチング膜の上にパターン化されたマスク層を有する基板の処理を制御する制御部とを有し、
    前記制御部は、
    前記マスク層に堆積物を堆積させる第1の工程と、前記マスク層の一部又は前記堆積物の一部の少なくともいずれかを除去する第2の工程とを1回以上繰り返し、前記マスク層のパターンの側面のテーパ角を所望の角度にするように制御する、基板処理装置。
JP2018210072A 2018-11-07 2018-11-07 処理方法及び基板処理装置 Active JP7195113B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2018210072A JP7195113B2 (ja) 2018-11-07 2018-11-07 処理方法及び基板処理装置
TW108139225A TWI826563B (zh) 2018-11-07 2019-10-30 處理方法及基板處理裝置
US16/671,407 US11380545B2 (en) 2018-11-07 2019-11-01 Processing method and substrate processing apparatus
KR1020190140601A KR20200052844A (ko) 2018-11-07 2019-11-06 처리 방법 및 기판 처리 장치
CN201911082523.4A CN111162006A (zh) 2018-11-07 2019-11-07 处理方法和基板处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018210072A JP7195113B2 (ja) 2018-11-07 2018-11-07 処理方法及び基板処理装置

Publications (3)

Publication Number Publication Date
JP2020077753A true JP2020077753A (ja) 2020-05-21
JP2020077753A5 JP2020077753A5 (ja) 2021-09-24
JP7195113B2 JP7195113B2 (ja) 2022-12-23

Family

ID=70458783

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018210072A Active JP7195113B2 (ja) 2018-11-07 2018-11-07 処理方法及び基板処理装置

Country Status (5)

Country Link
US (1) US11380545B2 (ja)
JP (1) JP7195113B2 (ja)
KR (1) KR20200052844A (ja)
CN (1) CN111162006A (ja)
TW (1) TWI826563B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129893A (ja) * 2003-09-29 2005-05-19 Tokyo Electron Ltd エッチング方法
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
JP2012231162A (ja) * 2005-06-28 2012-11-22 Lam Research Corporation エッチングマスクスタックを用いたマルチマスクプロセス
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6624016B2 (en) * 2001-02-22 2003-09-23 Silicon-Based Technology Corporation Method of fabricating trench isolation structures with extended buffer spacers
JP4176365B2 (ja) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
KR100553839B1 (ko) * 2003-11-27 2006-02-24 삼성전자주식회사 캐패시터와 그 제조 방법, 이를 포함하는 반도체 장치 및그 제조 방법
KR100573827B1 (ko) * 2003-12-30 2006-04-26 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
JP2006203035A (ja) * 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7491343B2 (en) 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6185305B2 (ja) * 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
KR20150015978A (ko) * 2013-08-02 2015-02-11 삼성디스플레이 주식회사 표시 장치의 방법
CN104916577B (zh) * 2014-03-14 2018-08-24 北京北方华创微电子装备有限公司 斜孔刻蚀方法
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP2017084938A (ja) * 2015-10-27 2017-05-18 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129893A (ja) * 2003-09-29 2005-05-19 Tokyo Electron Ltd エッチング方法
JP2012231162A (ja) * 2005-06-28 2012-11-22 Lam Research Corporation エッチングマスクスタックを用いたマルチマスクプロセス
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist

Also Published As

Publication number Publication date
TWI826563B (zh) 2023-12-21
US20200144051A1 (en) 2020-05-07
JP7195113B2 (ja) 2022-12-23
TW202022928A (zh) 2020-06-16
US11380545B2 (en) 2022-07-05
CN111162006A (zh) 2020-05-15
KR20200052844A (ko) 2020-05-15

Similar Documents

Publication Publication Date Title
TWI743072B (zh) 蝕刻方法及蝕刻裝置
CN110246760B (zh) 蚀刻方法
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
KR20180000692A (ko) 에칭 처리 방법
JP2015154047A (ja) エッチング方法、及びプラズマ処理装置
JP6438831B2 (ja) 有機膜をエッチングする方法
US11289339B2 (en) Plasma processing method and plasma processing apparatus
TWI703414B (zh) 蝕刻方法
JP2017098323A (ja) プラズマエッチング方法
KR20100004891A (ko) 플라즈마 에칭 방법, 제어 프로그램 및 컴퓨터 기억 매체
US20200168468A1 (en) Etching method and substrate processing apparatus
JP7195113B2 (ja) 処理方法及び基板処理装置
US10651077B2 (en) Etching method
JP2023053351A (ja) プラズマ処理装置
KR20180096576A (ko) 에칭 방법
JP2021174902A (ja) 処理方法及び基板処理装置
US10720328B2 (en) Etching method and etching apparatus
US10811275B2 (en) Plasma etching method and plasma etching apparatus
TW202018806A (zh) 蝕刻方法及基板處理裝置
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210810

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210810

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221018

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221213

R150 Certificate of patent or registration of utility model

Ref document number: 7195113

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150