KR20200052844A - 처리 방법 및 기판 처리 장치 - Google Patents

처리 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR20200052844A
KR20200052844A KR1020190140601A KR20190140601A KR20200052844A KR 20200052844 A KR20200052844 A KR 20200052844A KR 1020190140601 A KR1020190140601 A KR 1020190140601A KR 20190140601 A KR20190140601 A KR 20190140601A KR 20200052844 A KR20200052844 A KR 20200052844A
Authority
KR
South Korea
Prior art keywords
gas
taper angle
mask layer
deposition process
processing
Prior art date
Application number
KR1020190140601A
Other languages
English (en)
Inventor
쇼타 요시무라
기요히토 이토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200052844A publication Critical patent/KR20200052844A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(과제) 마스크 패턴의 격차를 억제하는 것을 제공한다.
(해결 수단) 피에칭막의 위에 패턴화된 마스크층에 퇴적물을 퇴적시키는 제 1 공정과, 상기 마스크층의 일부 또는 상기 퇴적물의 일부 중 적어도 어느 한쪽을 제거하는 제 2 공정을 갖고, 상기 제 1 공정과 상기 제 2 공정을 1회 이상 반복하고, 상기 마스크층의 패턴의 측면의 테이퍼 각을 소망하는 각도로 하는, 처리 방법이 제공된다.

Description

처리 방법 및 기판 처리 장치{PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS}
본 개시는, 처리 방법 및 기판 처리 장치에 관한 것이다.
예컨대, 특허문헌 1은, 홀 또는 라인의 패턴의 오목부에 퇴적물을 퇴적시키는 공정과, 홀 또는 라인의 패턴의 볼록부를 에칭에 의해 제거하는 공정을 갖고, 패턴의 요철을 감소시키는 것을 제안하고 있다.
예컨대, 특허문헌 2는, 패턴 형성이 끝난 포토레지스트 마스크를 제공하고, 포토레지스트 마스크 상에 피복을 배치하고, 에칭층에 특징부를 에칭하고, 마스크를 제거하는 것을 제안하고 있다.
특허문헌 1 : 미국 특허 제 9922839호 명세서 특허문헌 2 : 일본 특허 공개 2010-516059호 공보
본 개시는, 마스크 패턴의 격차를 억제할 수 있는 기술을 제공한다.
본 개시의 일 태양에 따르면, 피에칭막의 위에 패턴화된 마스크층에 퇴적물을 퇴적시키는 제 1 공정과, 상기 마스크층의 일부 또는 상기 퇴적물의 일부 중 적어도 어느 한쪽을 제거하는 제 2 공정을 갖고, 상기 제 1 공정과 상기 제 2 공정을 1회 이상 반복하고, 상기 마스크층의 패턴의 측면의 테이퍼 각을 소망하는 각도로 하는 처리 방법이 제공된다.
일 측면에 따르면, 마스크 패턴의 격차를 억제할 수 있다.
도 1은 일 실시 형태와 관련되는 기판 처리 장치의 일례를 나타내는 종단면도이다.
도 2는 일 실시 형태와 관련되는 기판의 처리 공정의 일례를 나타내는 도면이다.
도 3은 일 실시 형태와 관련되는 기판의 처리 방법의 일례를 나타내는 플로차트이다.
도 4는 일 실시 형태와 관련되는 사이클 수와 패턴의 격차를 나타내는 실험 결과의 예이다.
도 5는 일 실시 형태와 관련되는 패턴의 테이퍼 각과 패턴의 격차를 나타내는 실험 결과의 예이다.
도 6은 일 실시 형태와 관련되는 퇴적 공정 및 제거 공정에 있어서의 시간 의존성을 나타내는 실험 결과의 예이다.
도 7은 일 실시 형태와 관련되는 퇴적 공정에 있어서의 가스 의존성을 나타내는 실험 결과의 예이다.
도 8은 일 실시 형태와 관련되는 퇴적 공정에 있어서의 가스 의존성을 나타내는 실험 결과의 예이다.
도 9는 일 실시 형태와 관련되는 퇴적 공정에 있어서의 압력 의존성을 나타내는 실험 결과의 예이다.
도 10은 일 실시 형태와 관련되는 퇴적 공정에 있어서의 온도 의존성을 나타내는 실험 결과의 예이다.
도 11은 일 실시 형태와 관련되는 기판 처리에 있어서의 테이퍼 각의 조정을 설명하기 위한 도면이다.
도 12는 일 실시 형태와 관련되는 기판 처리에 있어서의 테이퍼 각의 조정을 설명하기 위한 도면이다.
이하, 본 개시를 실시하기 위한 형태에 대하여 도면을 참조하여 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는, 동일한 부호를 부여하는 것에 의해 중복된 설명을 생략한다.
[기판 처리 장치의 전체 구성]
우선, 기판 처리 장치(1)의 일례에 대하여, 도 1을 참조하면서 설명한다. 일 실시 형태와 관련되는 기판 처리 장치(1)는, 용량 결합형의 평행 평판의 기판 처리 장치이고, 대략 원통형의 처리 용기(2)를 갖고 있다. 처리 용기(2)의 내부는, 플라즈마에 의해 에칭 처리나 성막 처리 등의 플라즈마 처리가 행하여지는 처리실로 되어 있다. 처리 용기(2)의 내면은, 알루마이트 처리(양극 산화 처리)되어 있다.
스테이지(3)는, 처리 용기(2)의 내부에 마련되고, 기판의 일례인 웨이퍼 W를 탑재한다. 스테이지(3)는, 예컨대 알루미늄(Al)이나 티타늄(Ti), 탄화규소(SiC) 등으로 형성되어 있다. 스테이지(3)는, 처리 용기(2)의 저부에 유지되고, 하부 전극으로서도 기능한다.
스테이지(3)는, 기대(12)와, 기대(12) 상의 정전 척(10)을 갖는다. 정전 척(10)은, 절연체(10b)의 사이에 척 전극(10a)을 끼워 넣은 구조로 되어 있다. 직류 전원(30)은, 척 전극(10a)과 접속하고, 스위치(31)의 개폐에 따라 척 전극(10a)에 직류 전압의 인가 및 인가의 정지가 행하여진다. 이것에 의해, 직류 전압이 척 전극(10a)에 인가되면, 쿨롱력에 의해 웨이퍼 W가 정전 척(10)에 흡착된다.
에지 링("포커스 링"이라고도 불린다.)(11)은 링 형상이고, 정전 척(10)의 외주 쪽에서 웨이퍼 W의 주위에 마련된다. 에지 링(11)은, 예컨대, 실리콘으로 형성되고, 플라즈마를 웨이퍼 W의 위쪽으로 수속시키고, 플라즈마 처리의 효율을 향상시키도록 기능한다.
냉매 유로(12a)는, 기대(12)의 내부에 형성되어 있다. 예컨대 냉각수나 브라인 등의 냉각 매체(이하, "냉매"라고도 한다.)는, 칠러(36)로부터 출력되고, 냉매 입구 배관(12b)으로부터 냉매 유로(12a)에 흐르고, 냉매 출구 배관(12c)으로부터 나와 칠러(36)에 되돌려진다. 이것에 의해, 스테이지(3)는 열을 뺏기고, 냉각된다.
전열 가스 공급원(37)은, 헬륨 가스(He) 등의 전열 가스를 전열 가스 공급 라인(16)에 통과시켜 정전 척(10)의 표면과 웨이퍼 W의 이면의 사이에 공급한다. 정전 척(10)의 온도는, 냉매 유로(12a)를 순환하는 냉매와, 웨이퍼 W의 이면에 공급하는 전열 가스에 의해 제어된다. 이 결과, 웨이퍼 W를 소정의 온도로 제어할 수 있다.
고주파 전원(34)은, 정합기(35)를 거쳐서 스테이지(3)에 접속되고, 제 2 주파수의 바이어스 전압 발생용의 고주파 전력 LF를 스테이지(3)에 인가한다. 제 2 주파수는, 예컨대 13.56㎒이더라도 좋다. 정합기(35)는, 고주파 전원(34)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다.
샤워 헤드(20)는, 그 외연부를 피복하는 실드 링(21)을 통해서 처리 용기(2)의 천정부의 개구를 폐색하도록 장착되어 있다. 샤워 헤드(20)는, 실리콘에 의해 형성되더라도 좋다. 고주파 전원(32)은, 정합기(33)를 거쳐서 샤워 헤드(20)에 접속되고, 제 2 주파수보다 높은 제 1 주파수의 플라즈마 생성용의 고주파 전력 HF를 샤워 헤드(20)에 인가한다. 제 1 주파수는, 예컨대 60㎒이더라도 좋다. 또한, 고주파 전력 HF는, 스테이지(3)에 인가되더라도 좋다.
가변 직류 전원(26)은, 샤워 헤드(20)에 접속되고, 음의 직류 전압(DC)을 샤워 헤드(20)에 인가한다. 샤워 헤드(20)는, 스테이지(3)(하부 전극)에 대향하는 대향 전극(상부 전극)으로서도 기능한다. 정합기(33)는, 고주파 전원(32)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다.
가스 공급원(23)은, 가스 도입구(22)를 거쳐서 플라즈마 처리에 사용하는 가스를 센터 쪽의 확산실(24a) 및 에지 쪽의 확산실(24b)에 공급한다. 센터 쪽의 확산실(24a) 및 에지 쪽의 확산실(24b)의 내부에서 확산된 가스는, 가스 공급 구멍(25)으로부터 스테이지(3)에 도입된다.
배기구(18)는, 처리 용기(2)의 저면에 형성되어 있다. 배기 장치(38)는, 배기구(18)에 접속하고, 처리 용기(2) 내를 배기한다. 이것에 의해, 처리 용기(2) 내가 소정의 진공도로 유지된다. 게이트 밸브(17)는, 처리 용기(2)의 측벽에서 반송구(19)를 개폐하고, 아직 처리하지 않은 웨이퍼 W를 처리 용기(2)에 반입 또는 처리 용기(2)로부터 반출한다.
제어부(40)는, 장치 전체의 동작을 제어한다. 제어부(40)는, CPU(41), ROM(42) 및 RAM(43)을 갖는다. CPU(41)는, ROM(42) 또는 RAM(43)에 기억된 레시피에 따라, 플라즈마를 이용하여 에칭이나 성막 등의 플라즈마 처리를 제어한다. 레시피는, 프로세스 조건에 대한 장치의 제어 정보인 프로세스 시간, 압력(가스의 배기), 고주파 전력이나 전압, 각종 가스 유량, 처리 용기 내 온도(상부 전극 온도, 처리 용기의 측벽 온도, 웨이퍼 W 온도, 정전 척 온도 등), 냉매 온도 등을 설정한다. 또, 레시피는, 하드디스크, 반도체 메모리, CD-ROM, DVD 등의 가반성의 컴퓨터에 의해 판독 가능한 기억 매체에 수용된 상태에서 소정 위치에 세트되어, 읽히도록 하더라도 좋다.
플라즈마 처리를 실행할 때, 제어부(40)는, 게이트 밸브(17)를 열어 반송구(19)로부터 웨이퍼 W를 반입하고, 스테이지(3)에 탑재시킨다. 제어부(40)는, 척 전극(10a)에 양 또는 음의 극성의 직류 전압을 인가하고, 웨이퍼 W를 정전 척(10)에 흡착시킨다.
제어부(40)는, 가스 공급원(23)으로부터 처리 용기(2) 내에 소망하는 가스를 공급하고, 샤워 헤드(20)에 고주파 전력 HF와 음의 직류 전압을 인가하고, 스테이지(3)에 고주파 전력 LF를 인가한다. 이것에 의해, 웨이퍼 W의 위쪽에서 가스가 괴리하여 플라즈마가 생성되고, 웨이퍼 W에 플라즈마 처리가 실시된다.
플라즈마 처리 후, 제어부(40)는, 척 전극(10a)에 웨이퍼 W의 흡착 때와는 양음의 극성이 반대인 직류 전압을 인가하고, 웨이퍼 W의 전하의 제전을 제어한다. 제전 후, 제어부(40)는, 웨이퍼 W를 정전 척(10)으로부터 떼어내고, 게이트 밸브(17)를 열어 반송구(19)로부터 처리 용기(2)의 밖으로 반출한다.
[패턴의 미세화]
웨이퍼 W 상에 형성되는 반도체 디바이스의 미세화가 진행됨에 따라, 배선 또는 콘택트 저항이 증대되고 있다. 그 때문에, 배선 및 콘택트 저항의 격차가 디바이스 성능의 격차에 영향을 주게 되어 있고, 그 격차를 억제하는 것이 중요하게 되어 있다.
그래서, 이하에 설명하는 일 실시 형태와 관련되는 기판의 처리 방법에서는, 콘택트 홀 패턴의 Local Critical Dimension Uniformity(이하, "L-CDU"라고도 표기한다.)를 개선한다. L-CDU는, 인접하는 콘택트 홀의 CD(Critical Dimension) 사이즈의 격차를 나타낸다. 또한, 라인 패턴에 있어서의 패턴의 격차를 나타내는 지표의 일례로서는, LWR(line width roughness), LER(line edge roughness) 등을 들 수 있다.
[기판 처리의 공정]
도 2 및 도 3을 참조하여, 일 실시 형태와 관련되는 기판의 처리 공정의 일례에 대하여 설명한다. 도 2는 일 실시 형태와 관련되는 기판의 처리 공정의 일례를 나타낸다. 도 3은 일 실시 형태와 관련되는 기판의 처리 방법의 일례를 나타내는 플로차트이다. 도 3에 나타내는 각 스텝의 처리는, 제어부(40)에 의해 제어된다.
(초기 상태)
도 2(a)는 초기 상태의 웨이퍼 W 상의 적층막을 나타낸다. 웨이퍼 W는, 실리콘 기판(100) 상에 차례로 적층된 실리콘 산화막(102), SOC막(104)(Spin On Carbon), SOG막(106)(Spin On Glass), 레지스트막(108)을 갖는다.
레지스트막(108)은, 콘택트 홀의 패턴(이하, "마스크 패턴"이라고도 한다.)이 형성된 유기막이고, 패턴화된 마스크층으로서 기능한다. SOG막(106), SOC막(104), 실리콘 산화막(102)은, 피에칭막의 일례이다.
도 3의 스텝 S1에 있어서, 제어부(40)는, 우선, 도 2(a)의 형상의 웨이퍼 W를 준비한다.
(트리트먼트 공정)
다음으로, 스텝 S2에 있어서, 제어부(40)는, 레지스트막(108)을 H2 가스의 플라즈마 또는 HBr의 플라즈마에 의해 트리트먼트한다. 도 2(b)에서는, H2 가스 및 Ar 가스를 공급하는 예가 나타나 있다. 이것에 의해, 레지스트막(108)의 표면을 트리트먼트하고, 패턴 형상을 양호하게 할 수 있다. 단, 스텝 S2의 처리는 생략하더라도 좋다.
(사이클 스텝/퇴적 공정 및 제거 공정)
도 3으로 돌아가, 다음으로, 제어부(40)는, 레지스트막(108)의 마스크 패턴의 CD 사이즈를 균일하게 하기 위해, 퇴적 공정과 제거 공정을 반복하여 실행하는 사이클 스텝을 제어한다. 스텝 S3의 처리에 있어서, 제어부(40)는, 레지스트막(108)의 상면, 측면 및 저면(SOG막(106)의 상면)에 퇴적물을 퇴적시키고(퇴적 공정), 레지스트막(108)의 마스크 패턴의 측면의 테이퍼 각을 증가시킨다(크게 한다)(도 5의 테이퍼 각 참조). 테이퍼 각이란, 마스크 패턴의 측면을 지나는 접선과 마스크 패턴 저부를 지나는 접선에 의해 이루는 각도이다(도 5의 θ). 이 결과, 도 2(c)에 나타내는 바와 같이, 레지스트막(108)의 상면, 측면 및 저면에 유기막의 보호막(110)이 형성된다.
다음으로, 도 3의 스텝 S4에 있어서, 제어부(40)는, 퇴적 공정에 있어서 퇴적시킨 보호막(110)의 트리밍에 의해 그 일부를 제거하고(제거 공정), 레지스트막(108)의 마스크 패턴의 측면의 테이퍼 각을 감소시킨다(도 5의 테이퍼 각 참조).
제어부(40)는, 상기 사이클 스텝을 1회 이상 반복하여, 레지스트막(108)의 마스크 패턴의 측면의 테이퍼 각을 소망하는 각도로 제어하고, CD 사이즈의 격차를 없앤다. 이 결과, 도 2(d)에 나타내는 바와 같이, 레지스트막(108)의 주로 측면에 남은 보호막(110)에 의해, 레지스트막(108)의 마스크 패턴의 CD(예컨대, CD1, CD2)의 사이즈를 균일하게 할 수 있다. 또, 퇴적 공정은, 마스크층에 퇴적물을 퇴적시키는 제 1 공정에 상당한다. 제거 공정은, 마스크층의 일부 또는 퇴적물의 일부 중 적어도 어느 한쪽을 제거하는 제 2 공정에 상당한다. 또, 제 1 공정과 제 2 공정은, 어느 쪽이 먼저 행하여지더라도 좋다.
다음으로, 도 3의 스텝 S5에 있어서, 제어부(40)는, 퇴적 공정과 제거 공정의 양 스텝(이하, "사이클 스텝"이라고도 한다.)을 소정 횟수(이하, "사이클 수"라고도 한다.) 반복했는지를 판정한다.
다음으로, 제어부(40)는, 사이클 스텝을 소정 횟수 반복하지 않았다고 판정한 경우, 스텝 S3~S5의 처리를 반복한다. 이것에 의해, 레지스트막(108)의 마스크 패턴의 측면의 테이퍼 각을 소망하는 각도로 제어한다. 소정 횟수는, 1회 이상이고 미리 설정된 횟수이다.
(에칭 공정)
스텝 S5에 있어서, 제어부(40)는, 사이클 스텝을 소정 횟수 반복했다고 판정한 경우, 스텝 S6에 있어서, SOG막(106)을 에칭한다. 그 결과, 도 2(e)에 나타내는 바와 같이, 레지스트막(108)의 마스크 패턴으로 SOG막(106)이 에칭되고, CD 사이즈(예컨대, CD1, CD2)가 균일한 콘택트 홀이 형성된다. 그리고, 제어부(40)는, SOC막(104), 실리콘 산화막(102)의 차례로 더 에칭한다. 이것에 의해, 도 2(f)에 나타내는 바와 같이, 실리콘 산화막(102)에 CD 사이즈가 균일한 콘택트 홀이 형성된다. 다음으로, 도 3의 스텝 S7에 있어서, 제어부(40)는, 웨이퍼 W를 처리 용기(2) 밖으로 반출하고, 본 처리를 종료한다.
또, 처리 용기(2) 밖으로 반출된 웨이퍼 W는 애싱 장치나 웨트 세정 장치에 반송되어, 실리콘 산화막(102) 상의 SOC막(104)이나 웨이퍼 W의 잔사물이 제거되지만, 이것으로 한정되는 것이 아니다. 예컨대, 스텝 S6의 종료 후로부터 스텝 S7의 사이에, 제어부(40)는, SOC막(104)을 애싱에 의해 제거하더라도 좋다.
[프로세스 조건]
이상에 설명한 기판의 처리 방법에 있어서의 각 공정의 프로세스 조건에 대하여 설명한다.
(트리트먼트 공정)
우선, 도 3의 스텝 S2의 트리트먼트 공정의 프로세스 조건은 이하이다.
가스 종류 : H2 가스, Ar 가스
단, 트리트먼트 공정에서는, 상기 가스로 한정되지 않고, HBr 가스, Ar 가스를 공급하더라도 좋다.
(퇴적 공정)
다음으로, 스텝 S3의 퇴적 공정의 프로세스 조건은 이하이다.
가스 종류 : CH4 가스, H2 가스, Ar 가스
단, 퇴적 공정에서는, 상기 가스로 한정되지 않고, 예컨대, CH4 가스는, 그 외의 하이드로카본(CH) 가스, 하이드로플루오르카본(CHF) 가스 및 플루오르카본(CF) 가스 중 적어도 1개를 포함하는 가스를 사용하더라도 좋다. 퇴적 공정에서 사용하는 가스를 제 1 가스라고 하고, 퇴적 공정에서는, 제 1 가스를 이용하여 플라즈마 처리가 실행된다. 제 1 가스는, H2 가스를 포함하지 않더라도 좋다. 또한, Ar 가스 대신에 N2 가스나 그 외의 불활성 가스를 사용하더라도 좋다.
(제거 공정)
다음으로, 스텝 S4의 제거 공정의 프로세스 조건은 이하이다.
가스 종류 : CO2 가스, CH4 가스
단, 제거 공정에서는, 상기 가스로 한정되지 않고, 예컨대, CO2 가스 대신에 O2 가스, CO 가스, N2 가스, H2 가스를 사용하더라도 좋다. 또한, CH4 가스는, 그 외의 하이드로카본(CH) 가스, 하이드로플루오르카본(CHF) 가스, 플루오르카본(CF) 가스이더라도 좋다.
(에칭 공정)
다음으로, 스텝 S6의 에칭 공정의 프로세스 조건은 이하이다.
가스 종류 : CHF3 가스, CF4 가스
단, 에칭 공정에서는, 상기 가스로 한정되지 않는다.
[사이클 수]
퇴적 공정에서는, 콘택트 홀의 측면에 퇴적물을 부착시킨다. 이때, 퇴적물은 좁은 홀보다 넓은 홀에 보다 많이 부착된다(Loading 효과). 본 실시 형태에서는, 이 퇴적물의 Loading 효과를 이용한다.
제거 공정에서는 퇴적 공정에 있어서 콘택트 홀의 측면에 부착된 퇴적물을 균등하게 제거한다. 이 퇴적 공정과 제거 공정을 반복함으로써 콘택트 홀의 CD 사이즈를 맞추어 L-CDU를 개선할 수 있다.
도 4의 그래프는, 퇴적 공정과 제거 공정을 반복할 때의 사이클 수와 마스크 패턴의 격차의 실험 결과의 일례를 나타낸다. 도 4(a) 및 (b)의 그래프의 가로축은 사이클 수이고, 세로축은 도 4의 우측에 나타내는 CD 값이 상이한 4개의 패턴 1~4에 대한 CD 값 및 L-CDU(3σ)의 실험 결과이다. L-CDU(3σ)는, 3σ(σ : 표준편차)의 값을 나타낸다.
도 4(a)의 선 A의 패턴 1(CD=29㎚), 선 B의 패턴 2(CD=26㎚), 선 CB의 패턴 3(CD=23㎚), 선 DB의 패턴 4(CD=20㎚)의 초기 상태에 있어서의 CD 값의 차분의 최대치는 9㎚(=29㎚-20㎚)였다.
사이클 스텝을 반복하여, 사이클 수가 증가하면, 도 4(a)에 나타내는 바와 같이, CD 값의 차분의 최대치가 작아지고, 사이클 수가 15회일 때, CD 값의 차분의 최대치는 4㎚(=26㎚-22㎚)가 되었다. 마찬가지로 하여, 사이클 수가 증가하면, 도 4(b)에 나타내는 바와 같이, 패턴 A~D의 어느 것에 있어서도 L-CDU(3σ)의 값이 작아지고, 사이클 수가 15회일 때 가장 L-CDU(3σ)가 개선되었다.
[테이퍼 각]
도 5의 우측에 나타내는 바와 같이, 마스크 패턴의 측면이 수직일 때의 테이퍼 각을 90°로 한다. 마스크 패턴이 역 테이퍼 형상이 될 때의 테이퍼 각은 90°보다 크고(증가), 마스크 패턴이 테이퍼 형상이 될 때의 테이퍼 각은 90°보다 작다(감소)고 정의한다.
도 5의 그래프는, 가로축의 마스크 패턴의 테이퍼 각에 대한 세로축의 마스크 패턴의 격차(L-CDU(3σ)/CD)의 실험 결과의 일례를 나타낸다. 도 5의 세로축에 나타내는 L-CDU(3σ)/CD는, 단위 길이당 L-CDU(3σ)이다. L-CDU는, 3σ의 값이지만, 이것으로 한정되지 않는다.
여기서, 선 Y는, 사이클 스텝 후의 테이퍼 각에 대한 L-CDU(3σ)/CD를 나타낸다. 선 Z는, SOG막(106)의 에칭 후의 테이퍼 각에 대한 L-CDU(3σ)/CD를 나타낸다. 어느 경우에도, 마스크 패턴의 테이퍼 각을 85°~95°로 조정하면 마스크 패턴 및 SOG막(106)의 패턴에 격차가 적은 것을 알 수 있다.
L-CDU(3σ)/CD를 작게 하려면, 사이클 스텝 후의 마스크 패턴의 테이퍼 각이 85°~90°가 되도록 조정하는 것이 바람직하다(테두리 O 내 참조).
도 6은 일 실시 형태와 관련되는 퇴적 공정 및 제거 공정에 있어서의 시간 의존성을 나타내는 실험 결과의 일례이다. 도 6(a)의 실험 결과에서는, 퇴적 공정에서는 마스크 패턴의 상부에 퇴적물이 부착되기 쉽고, 퇴적 공정의 시간이 길어질수록 테이퍼 각이 증가하고, 90°보다 커져 마스크 패턴은 역 테이퍼 형상이 되는 것을 알 수 있었다.
또한, 도 6(b)의 실험 결과에서는, 제거 공정에서는 마스크 패턴의 상부의 보호막(110)을 제거하기 쉽고, 제거 공정의 시간이 길어질수록 테이퍼 각이 감소하고, 90°보다 작아져 마스크 패턴은 테이퍼 형상이 되는 것을 알 수 있었다.
이상으로부터, 퇴적 공정에서는, 콘택트 홀의 측면에 퇴적물을 부착시켜, 테이퍼 각을 증가시킬 수 있고, 제거 공정에서는, 콘택트 홀의 측면의 퇴적물의 일부를 제거하여, 테이퍼 각을 감소시킬 수 있다. 이것에 의해, 퇴적 공정과 제거 공정을 반복함으로써, 마스크 패턴의 테이퍼 각을 제어할 수 있다. 또한, 퇴적 공정과 제거 공정을 반복할 때의 사이클 수를 제어함으로써, 퇴적 공정 시간과 제거 공정 시간을 제어하고, 이것에 의해, 테이퍼 각을 85°~95°로 조정함으로써, L-CDU를 개선할 수 있다.
다시 말해, 제어부(40)는, 퇴적 공정과 제거 공정을 반복함으로써, 사이클 스텝의 처리 시간을 제어하고, 사이클 스텝 후의 테이퍼 각이 85°~95°가 되도록 마스크 형상을 조정하는 것이 가능하게 된다. 이것에 의해, L-CDU를 개선할 수 있다. 이와 같이 하여 레지스트막(108)의 CD 사이즈의 격차를 없애는 처리를 행함으로써, SOG막(106)을 에칭할 때의 CD 사이즈의 격차를 억제할 수 있다. 또한, SOG막(106)을 마스크로 하여 SOC막(104), 실리콘 산화막(102)을 차례로 에칭할 때의 CD 사이즈의 격차를 억제할 수 있다. 이것에 의해, 실리콘 산화막(102)의 에칭 형상을 수직으로 함과 아울러 에칭 형상의 CD의 격차를 없앰으로써 디바이스 성능을 향상시킬 수 있다.
[가스 의존성]
다음으로, 퇴적 공정에 있어서의 가스 의존성에 대하여, 도 7 및 도 8을 참조하면서 설명한다. 도 7 및 도 8은 일 실시 형태와 관련되는 퇴적 공정에 있어서의 가스 의존성을 나타내는 실험 결과의 일례를 나타낸다.
도 7의 그래프의 선 E는, 퇴적 공정에 있어서 Ar 가스, H2 가스, CH4 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각에 대한 L-CDU(3σ)/CD를 나타낸다. 선 F는, 퇴적 공정에 있어서 Ar 가스, CH4 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각에 대한 L-CDU(3σ)/CD를 나타낸다. 선 G는, 퇴적 공정에 있어서 Ar 가스, CH3F 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각에 대한 L-CDU(3σ)/CD를 나타낸다. 선 H는, 퇴적 공정에 있어서 Ar 가스, CH2F2 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각에 대한 L-CDU(3σ)/CD를 나타낸다. 선 I는, 퇴적 공정에 있어서 Ar 가스, C4F8 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각에 대한 L-CDU(3σ)/CD를 나타낸다.
이것에 의하면, 선 E~선 I에 나타내는 어느 가스를 사용하더라도, 테이퍼 각을 85°~95°로 조정함으로써, L-CDU(3σ)/CD를 작게 할 수 있는 것을 알 수 있었다. 다시 말해, 퇴적 공정에 있어서 하이드로카본(CH) 가스, 하이드로플루오르카본(CHF) 가스 및 플루오르카본(CF) 가스 중 적어도 1개를 포함하는 제 1 가스의 플라즈마 처리에 의해 테이퍼 각을 85°~95°로 조정한다. 이것에 의해, L-CDU를 개선할 수 있는 것을 알 수 있었다.
도 8의 그래프는, 퇴적 공정에 있어서의 퇴적 시간에 대한 테이퍼 각의 실험 결과의 일례를 나타낸다. 이것에 따르면, 선 E~선 I에 나타내는 어느 가스를 사용하더라도, 퇴적 시간에 의해 테이퍼 각을 제어할 수 있는 것을 알 수 있었다. 다시 말해, 상기 제 1 가스의 플라즈마에 의한 처리를 행하는 퇴적 공정에 있어서, 사이클 수에 의해 퇴적 시간을 제어함으로써 테이퍼 각을 제어할 수 있는 것을 알 수 있었다.
특히, 도 7의 선 E에 나타내는 Ar 가스, H2 가스, CH4 가스, 선 F에 나타내는 Ar 가스, CH4 가스 및 선 G에 나타내는 Ar 가스, CH3F 가스는, 선 H에 나타내는 Ar 가스, CH2F2 가스 및 선 I에 나타내는 Ar 가스, C4F8 가스보다 L-CDU(3σ)/CD를 작게 할 수 있었다. 이상으로부터, 퇴적 공정에 사용하는 제 1 가스의 H 원자의 함유량은, F 원자의 함유량보다 큰 것이 바람직하고, 테이퍼 각을 85°~95°로 조정하면 보다 바람직한 것을 알 수 있었다. 예컨대, 퇴적 공정에 사용하는 가스로서, CH4 가스, CH3F 가스는, CH2F2 가스, C4F8 가스보다 바람직한 것을 알 수 있었다.
[압력 의존성]
다음으로, 퇴적 공정에 있어서의 압력 의존성에 대하여, 도 9를 참조하면서 설명한다. 도 9는 일 실시 형태와 관련되는 퇴적 공정에 있어서의 압력 의존성을 나타내는 실험 결과의 일례를 나타낸다. 도 9(a)의 그래프는 처리 용기(2) 내의 압력을 변동시켰을 때의 테이퍼 각의 변화를 나타낸다. 도 9(b)는 처리 용기(2) 내의 압력을 변동시켰을 때의 L-CDU(3σ)/CD의 변화를 나타낸다.
도 9의 선 J는, 퇴적 공정에 있어서 Ar 가스, H2 가스, CH4 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각과 L-CDU(3σ)/CD를 나타낸다. 선 K는, 퇴적 공정에 있어서 Ar 가스, CH4 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각과 L-CDU(3σ)/CD를 나타낸다. 선 L은, 퇴적 공정에 있어서 Ar 가스, CH3F 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각과 L-CDU(3σ)/CD를 나타낸다.
이것에 따르면, 상기의 어느 가스를 사용한 경우에도, 테이퍼 각과 L-CDU(3σ)/CD는, 압력의 변동에 의해 변동하는 것을 알 수 있었다. 또한, 도 9(a)에 나타내는 바와 같이, 테이퍼 각이 85°~95°의 사이가 되는 압력 조건에서는, 도 9(b)에 나타내는 바와 같이, L-CDU(3σ)/CD가 대략 11% 이하가 되었다. 다시 말해, 테이퍼 각이 85°~95°의 사이가 되는 압력 조건에서는, L-CDU(3σ)/CD가 양호하게 되는 것을 알 수 있었다. 한편, L-CDU(3σ)/CD의 일부는 11%보다 커졌다. 따라서, 테이퍼 각이 85°~95°의 사이가 되는 압력 조건 중, L-CDU(3σ)/CD가 11% 이하가 되는 압력 조건으로 제어하는 것이 바람직한 것을 알 수 있었다.
[온도 의존성]
다음으로, 퇴적 공정에 있어서의 온도 의존성에 대하여, 도 10을 참조하면서 설명한다. 도 10은 일 실시 형태와 관련되는 퇴적 공정에 있어서의 온도 의존성을 나타내는 실험 결과의 일례를 나타낸다. 도 10(a)의 그래프는 웨이퍼의 온도를 변동시켰을 때의 테이퍼 각의 변화를 나타낸다. 도 10(b)의 그래프는 웨이퍼의 온도를 변동시켰을 때의 L-CDU(3σ)/CD의 변화를 나타낸다. 도 10의 선 L에 나타내는 퇴적 공정에 있어서 사용한 가스는, 도 9의 선 L에 나타내는 퇴적 공정에 있어서 사용한 가스와 동일하고, 퇴적 공정에 있어서 Ar 가스, CH3F 가스를 사용한 경우의 퇴적 공정 후의 테이퍼 각과 L-CDU(3σ)/CD를 나타낸다.
이것에 따르면, 상기의 어느 가스를 사용한 경우에도, 테이퍼 각과 L-CDU(3σ)/CD는, 온도의 변동에 의해 변동하는 것을 알 수 있었다. 또한, 도 10(a)에 나타내는 바와 같이, 테이퍼 각이 85°~95°의 사이가 되는 온도 조건에서는, 도 10(b)에 나타내는 바와 같이, L-CDU(3σ)/CD는 대략 11% 이하가 되었다. 따라서, 테이퍼 각이 85°~95°의 사이가 되는 온도 조건 중, L-CDU(3σ)/CD가 11% 이하가 되는 온도 조건으로 제어하는 것이 바람직한 것을 알 수 있었다. 단, 도 9 및 도 10에 있어서, 허용할 수 있는 L-CDU(3σ)/CD의 값은 11% 이하였지만, 이것으로 한정되지 않고, 11%보다 큰 값이나 작은 값 등, 다른 임계치를 이용하더라도 좋다.
[테이퍼 각의 조정]
다음으로, 기판 처리의 사이클 스텝에 있어서의 테이퍼 각의 조정에 대하여, 도 11 및 도 12를 참조하면서 설명한다. 도 11 및 도 12는 일 실시 형태와 관련되는 기판의 처리 방법에 있어서의 테이퍼 각의 조정을 설명하기 위한 도면이다.
도 11(a)~도 12(e)에 나타내는 바와 같이, 레지스트막(108)의 초기 상태의 측면의 테이퍼 각을 θ0으로 하고, 퇴적 공정과 제거 공정을 소정의 횟수 N(N=사이클 수, N은 1 이상의 정수) 반복한다. 사이클 수가 n(n≤N)회째인 퇴적 공정에 있어서의 테이퍼 각의 증가분을 ΔθD, n으로 하고, 사이클 수가 n회째인 제거 공정에 있어서의 테이퍼 각의 감소분을 ΔθT, n으로 했을 때, 하기 식 (1)이 성립된다. 또한, 제어부(40)는, 하기 식 (1)이 성립되도록 퇴적 공정과 제거 공정의 프로세스 조건(처리 시간, 가스 종류, 압력, 온도 등)을 조정한다.
[수학식 1]
Figure pat00001
도 11(a)~도 12(e)에 있어서, D1, D2, D3, …은 퇴적 공정 D를 나타내고, T1, T2, T3, …은 제거 공정 T를 나타낸다. 퇴적 공정 D1, D2, D3, …에서는, 레지스트막(108)의 측면의 테이퍼 각이 증가하고, 제거 공정 T1, T2, T3, …에서는, 레지스트막(108)의 측면의 테이퍼 각이 감소한다.
식 (1)은, 도 11(a)에 나타내는 바와 같이 테이퍼 각의 변화량이 매회 상이한 경우에 테이퍼 각이 85°~95°의 사이가 되는 조건식이 나타나 있다.
식 (2)는, 도 11(b)에 나타내는 바와 같이 테이퍼 각의 변화량이 매회 동일한 경우에 테이퍼 각이 85°~95°의 사이가 되는 조건식이 나타나 있다.
Figure pat00002
조정하는 프로세스 조건은, 퇴적 공정 및 제거 공정의 처리 시간, 퇴적 공정의 가스 종류, 퇴적 공정의 압력 및 퇴적 공정의 온도 중 적어도 어느 하나이다. 예컨대, 퇴적 공정 및 제거 공정의 처리 시간은, 도 6(a) 및 (b)의 그래프와 같이 미리 얻어진 처리 시간(퇴적 공정 시간)과 테이퍼 각의 관계를 나타내는 데이터를 ROM(42) 또는 RAM(43)에 기억하고, CPU(41)가 이들 데이터에 근거하여 프로세스 조정하더라도 좋다.
또한, 예컨대, 퇴적 공정의 가스 종류는, 도 8의 그래프와 같이 미리 얻어진 가스의 종류마다의 처리 시간(퇴적 공정 시간)과 테이퍼 각의 관계를 나타내는 데이터를 ROM(42) 또는 RAM(43)에 기억하고, CPU(41)가 이들 데이터에 근거하여 프로세스의 조정을 행하더라도 좋다.
또한, 예컨대, 퇴적 공정의 압력은, 도 9(a)의 그래프와 같이 미리 얻어진 압력과 테이퍼 각의 관계를 나타내는 데이터를 ROM(42) 또는 RAM(43)에 기억하고, CPU(41)가 이들 데이터에 근거하여 프로세스의 조정을 행하더라도 좋다.
또한, 예컨대, 퇴적 공정의 온도는, 도 10(a)의 그래프와 같이 미리 얻어진 온도와 테이퍼 각의 관계를 나타내는 데이터를 ROM(42) 또는 RAM(43)에 기억하고, CPU(41)가 이들 데이터에 근거하여 프로세스의 조정을 행하더라도 좋다.
조정하는 프로세스 조건의 실시예로서, 퇴적 공정 및 제거 공정의 처리 시간, 퇴적 공정의 가스 종류, 퇴적 공정의 압력 및 퇴적 공정의 온도에 대하여 설명했지만, 이것으로 한정되는 것이 아니다. 예컨대, 제거 공정의 가스 종류, 제거 공정의 압력 및 제거 공정의 온도, 그 외의 처리 파라미터와 테이퍼 각의 관계를 나타내는 데이터를 미리 ROM(42) 또는 RAM(43)에 기억하고, CPU(41)가 이들 데이터에 근거하여 프로세스의 조정을 행하더라도 좋다.
이때, 퇴적 공정과 제거 공정을 반복하는 횟수가 2 이상인 경우, 상기 횟수가 n회째인 퇴적 공정과, n+1회째인 퇴적 공정의 프로세스 조건은 동일하더라도 좋고, 상이하더라도 좋다.
또한, 퇴적 공정과 제거 공정을 반복하는 횟수가 2 이상인 경우, 상기 횟수가 n회째인 퇴적 공정과, n+1회째인 퇴적 공정의 프로세스 조건이 동일한 경우 및 상이한 경우 중 어느 것이더라도, 상기 횟수가 n회째인 제거 공정과, n+1회째인 제거 공정의 프로세스 조건은 동일하더라도 좋고, 상이하더라도 좋다.
이상에 설명한 처리 방법에서는, 최초로 퇴적 공정을 행한 후, 제거 공정을 행하고, 그 후, 퇴적 공정과 제거 공정을 복수 회 반복하고 있지만, 이것으로 한정되는 것이 아니고, 도 12(c)에 나타내는 바와 같이 최초로 제거 공정을 행한 후, 퇴적 공정을 행하더라도 좋다. 특히, 초기의 테이퍼 각이 90°보다 큰 경우, 제거 공정에 의한 테이퍼 각을 감소시키는 공정으로부터 시작하게 되므로, 보다 빠른 단계에서 규정의 각도에 도달할 수 있다.
식 (3)은, 도 12(c)에 나타내는 바와 같이 테이퍼 각의 변화량이 매회 동일한 경우에 테이퍼 각이 85°~95°의 사이가 되는 조건식을 나타낸다.
[수학식 2]
Figure pat00003
또한, 퇴적 공정과 제거 공정을 반복하는 경우, 이상에 설명한 처리 방법에서는, 각 공정을 행하는 횟수는 동일했지만, 그것으로 한정되는 것이 아니다. 예컨대, 도 12(d)에 나타내는 바와 같이 최초로 퇴적 공정을 행한 후, 제거 공정을 행하는 경우, 퇴적 공정을 N회 실행하는데 대하여, 제거 공정을 N-1회 실행하도록 하더라도 좋다.
식 (4)는, 도 12(d)에 나타내는 바와 같이 테이퍼 각의 변화량이 매회 동일한 경우에 테이퍼 각이 85°~95°의 사이가 되는 조건식을 나타낸다.
[수학식 3]
Figure pat00004
또, 최초로 제거 공정을 행한 후, 퇴적 공정을 행하는 경우, 제거 공정을 N회 실행하는데 대하여, 퇴적 공정을 N-1회 실행하도록 하더라도 좋다.
또한, n회째의 퇴적 공정을 실행하고 있는 동안, 및 n회째의 제거 공정을 실행하고 있는 동안에 있어서, 단일 프로세스 조건에서 처리하고 있지만 이것으로 한정되는 것이 아니다. 예컨대 n회째의 퇴적 공정을 실행하는 동안을 복수의 스텝으로 나누고, 가스 종류, 압력, 온도 등의 파라미터를 전환하더라도 좋다. 또한, n회째의 제거 공정을 실행하는 동안을 복수의 스텝으로 나누고, 가스 종류, 압력, 온도 등의 파라미터를 전환하더라도 좋다.
또한, 제어부(40)는, 퇴적 공정 및 제거 공정의 사이클 스텝을, 도 12(e)에 나타내는 2단계로 제어하더라도 좋다. 이 경우, 1단계째의 사이클 스텝 P에서는, 제어부(40)는, 레지스트막(108)의 측면을 대략 수직 형상으로 하도록 제어한다. 예컨대, 도 11(c)의 예에서는, 제어부(40)는, 테이퍼 각이 85°~95°의 사이가 되도록 제어한다. 그 후, 2단계째의 사이클 스텝 Q에서는, 제어부(40)는, 레지스트막(108)의 측면을 대략 수직 형상으로 하면서, 식 (5)를 만족시키도록 제어한다. 도 12(e)의 예에서는, 제어부(40)는, 테이퍼 각이 85°~95°의 사이가 되도록 제어하면서, 식 (5)를 만족시키도록 제어한다.
[수학식 4]
Figure pat00005
이것에 의해, 1단계째의 사이클 스텝 P에서 레지스트막(108)의 측면이 대략 수직 형상이 되도록 조정하고, 2단계째의 사이클 스텝 Q에서 레지스트막(108)의 측면의 수직 형상을 유지하면서, 패턴 표면의 요철을 줄여, 매끄럽게 할 수 있다. 다시 말해, 1단계째의 사이클 스텝 P에서는 테이퍼 각을 제어할 수 있다. 또한, 2단계째의 사이클 스텝 Q의 퇴적 공정에서는, 패턴 표면의 오목부에 우선적으로 퇴적물을 퇴적시키고, 제거 공정에서는 패턴 표면의 볼록부로부터 에칭을 진행시킨다. 이것에 의해, 테이퍼 각을 대략 수직 형상으로 유지하면서, 패턴 표면의 요철을 감소시킬 수 있다.
이번 개시된 일 실시 형태와 관련되는 처리 방법 및 기판 처리 장치는, 모든 점에 있어서 예시이고 제한적인 것이 아니라고 생각되어야 하는 것이다. 상기의 실시형태는, 첨부된 청구의 범위 및 그 주지를 일탈하는 일 없이, 다양한 형태로 변형 및 개량이 가능하다. 상기 복수의 실시 형태에 기재된 사항은, 모순되지 않는 범위에서 다른 구성도 취할 수 있고, 또한, 모순되지 않는 범위에서 조합할 수 있다.
본 개시의 기판 처리 장치는, Capacitively Coupled Plasma(CCP), Inductively Coupled Plasma(ICP), Radial Line Slot Antenna(RLSA), Electron Cyclotron Resonance Plasma(ECR), Helicon Wave Plasma(HWP)의 어느 타입에서도 적용 가능하다.
본 명세서에서는, 기판의 일례로서 웨이퍼 W를 들어 설명했다. 그러나, 기판은, 이것에 한하지 않고, FPD(Flat Panel Display)에 이용되는 각종 기판, 프린트 기판 등이더라도 좋다.
1 : 기판 처리 장치
2 : 처리 용기
3 : 스테이지
10 : 정전 척
10a : 척 전극
11 : 에지 링
12 : 기대
12a : 냉매 유로
17 : 게이트 밸브
20 : 샤워 헤드
22 : 가스 도입구
23 : 가스 공급원
25 : 가스 공급 구멍
26 : 가변 직류 전원
30 : 직류 전원
32, 34 : 고주파 전원
33, 35 : 정합기
36 : 칠러
37 : 전열 가스 공급원
38 : 배기 장치
40 : 제어부
102 : 실리콘 산화막
104 : SOC막
106 : SOG막
108 : 레지스트막
110 : 보호막

Claims (15)

  1. 피에칭막의 위에 패턴화된 마스크층에 퇴적물을 퇴적시키는 제 1 공정과,
    상기 마스크층의 일부 또는 상기 퇴적물의 일부 중 적어도 어느 한쪽을 제거하는 제 2 공정
    을 갖고,
    상기 제 1 공정과 상기 제 2 공정을 1회 이상 반복하고, 상기 마스크층의 패턴의 측면의 테이퍼 각을 소망하는 각도로 하는
    처리 방법.
  2. 제 1 항에 있어서,
    상기 제 1 공정은, 상기 퇴적물을 퇴적시켜, 상기 마스크층의 패턴의 측면의 테이퍼 각을 증가시키고,
    상기 제 2 공정은, 상기 퇴적물의 일부를 제거하여, 상기 마스크층의 패턴의 측면의 테이퍼 각을 감소시키는
    처리 방법.
  3. 제 2 항에 있어서,
    상기 마스크층의 초기 상태의 측면의 테이퍼 각을 θ0으로 하고, 상기 제 1 공정과 상기 제 2 공정을 소정의 횟수 N(N은 1 이상의 정수) 반복하고, 상기 횟수가 n(n≤N)회째인 제 1 공정에 있어서의 테이퍼 각의 증가분을 ΔθD, n으로 하고, 상기 횟수가 n회째인 제 2 공정에 있어서의 테이퍼 각의 감소분을 ΔθT, n으로 했을 때, 식 (1)이 성립되는
    처리 방법.
    [수학식 1]
    Figure pat00006

  4. 제 3 항에 있어서,
    상기 식 (1)이 성립되도록, 상기 제 1 공정과 상기 제 2 공정의 프로세스 조건을 조정하는 처리 방법.
  5. 제 4 항에 있어서,
    조정하는 상기 프로세스 조건은, 상기 제 1 공정 및 상기 제 2 공정의 처리 시간, 상기 제 1 공정의 가스의 종류, 상기 제 1 공정의 압력 및 상기 제 1 공정의 온도 중 적어도 어느 하나인 처리 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 공정은, 하이드로카본(CH), 하이드로플루오르카본(CHF) 및 플루오르카본(CF) 중 적어도 1개를 포함하는 제 1 가스의 플라즈마에 의한 처리인 처리 방법.
  7. 제 6 항에 있어서,
    상기 제 1 가스는, 수소(H2) 가스를 더 포함하는 처리 방법.
  8. 제 6 항 또는 제 7 항에 있어서,
    상기 제 1 가스의 H 원자의 함유량은, F 원자의 함유량보다 큰 처리 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 제 1 공정의 전에, 상기 마스크층을 H2 가스의 플라즈마 또는 HBr의 플라즈마에 의해 트리트먼트하는 처리를 실행하는 공정을 갖는 처리 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정을 소정의 횟수 N 반복한 후, 상기 마스크층의 패턴으로 상기 피에칭막을 에칭하는 공정을 갖는 처리 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정을 반복하는 횟수가 2 이상인 경우, 상기 횟수가 n회째인 제 1 공정과, n+1회째인 제 1 공정의 프로세스 조건은 동일한 처리 방법.
  12. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정을 반복하는 횟수가 2 이상인 경우, 상기 횟수가 n회째인 제 1 공정과, n+1회째인 제 1 공정의 프로세스 조건은 상이한 처리 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정을 반복하는 횟수가 2 이상인 경우, 상기 횟수가 n회째인 제 2 공정과, n+1회째인 제 2 공정의 프로세스 조건은 동일한 처리 방법.
  14. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 제 1 공정과 상기 제 2 공정을 반복하는 횟수가 2 이상인 경우, 상기 횟수가 n회째인 제 2 공정과, n+1회째인 제 2 공정의 프로세스 조건은 상이한 처리 방법.
  15. 처리 용기와,
    상기 처리 용기 내에서 피에칭막의 위에 패턴화된 마스크층을 갖는 기판의 처리를 제어하는 제어부
    를 갖고,
    상기 제어부는, 상기 마스크층에 퇴적물을 퇴적시키는 제 1 공정과, 상기 마스크층의 일부 또는 상기 퇴적물의 일부 중 적어도 어느 한쪽을 제거하는 제 2 공정을 1회 이상 반복하고, 상기 마스크층의 패턴의 측면의 테이퍼 각을 소망하는 각도로 하도록 제어하는
    기판 처리 장치.
KR1020190140601A 2018-11-07 2019-11-06 처리 방법 및 기판 처리 장치 KR20200052844A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2018-210072 2018-11-07
JP2018210072A JP7195113B2 (ja) 2018-11-07 2018-11-07 処理方法及び基板処理装置

Publications (1)

Publication Number Publication Date
KR20200052844A true KR20200052844A (ko) 2020-05-15

Family

ID=70458783

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190140601A KR20200052844A (ko) 2018-11-07 2019-11-06 처리 방법 및 기판 처리 장치

Country Status (5)

Country Link
US (1) US11380545B2 (ko)
JP (1) JP7195113B2 (ko)
KR (1) KR20200052844A (ko)
CN (1) CN111162006A (ko)
TW (1) TWI826563B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010516059A (ja) 2007-01-10 2010-05-13 ラム リサーチ コーポレーション エッチング中のラインエンドショートニングの低減
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6624016B2 (en) * 2001-02-22 2003-09-23 Silicon-Based Technology Corporation Method of fabricating trench isolation structures with extended buffer spacers
JP4176365B2 (ja) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
KR100553839B1 (ko) * 2003-11-27 2006-02-24 삼성전자주식회사 캐패시터와 그 제조 방법, 이를 포함하는 반도체 장치 및그 제조 방법
KR100573827B1 (ko) * 2003-12-30 2006-04-26 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
JP2006203035A (ja) * 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6185305B2 (ja) * 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
KR20150015978A (ko) * 2013-08-02 2015-02-11 삼성디스플레이 주식회사 표시 장치의 방법
CN104916577B (zh) * 2014-03-14 2018-08-24 北京北方华创微电子装备有限公司 斜孔刻蚀方法
JP2017084938A (ja) * 2015-10-27 2017-05-18 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010516059A (ja) 2007-01-10 2010-05-13 ラム リサーチ コーポレーション エッチング中のラインエンドショートニングの低減
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography

Also Published As

Publication number Publication date
TWI826563B (zh) 2023-12-21
US20200144051A1 (en) 2020-05-07
JP2020077753A (ja) 2020-05-21
JP7195113B2 (ja) 2022-12-23
TW202022928A (zh) 2020-06-16
US11380545B2 (en) 2022-07-05
CN111162006A (zh) 2020-05-15

Similar Documents

Publication Publication Date Title
US9324569B2 (en) Plasma etching method and plasma etching apparatus
JP6035117B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
US20170372916A1 (en) Etching process method
JP6438831B2 (ja) 有機膜をエッチングする方法
US20210050222A1 (en) Plasma etching method
US11289339B2 (en) Plasma processing method and plasma processing apparatus
TWI703414B (zh) 蝕刻方法
JP2014096500A (ja) プラズマエッチング方法及びプラズマエッチング装置
US9818582B2 (en) Plasma processing method
KR20100004891A (ko) 플라즈마 에칭 방법, 제어 프로그램 및 컴퓨터 기억 매체
US20200168468A1 (en) Etching method and substrate processing apparatus
KR20200052844A (ko) 처리 방법 및 기판 처리 장치
TWI822918B (zh) 電漿處理方法及電漿處理裝置
JP2019009189A (ja) エッチング方法
JP7158252B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
KR20180096576A (ko) 에칭 방법
US10720328B2 (en) Etching method and etching apparatus
JP2021174902A (ja) 処理方法及び基板処理装置
US20200411326A1 (en) Etching method and substrate processing apparatus
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置