JP2016502689A5 - - Google Patents

Download PDF

Info

Publication number
JP2016502689A5
JP2016502689A5 JP2015531303A JP2015531303A JP2016502689A5 JP 2016502689 A5 JP2016502689 A5 JP 2016502689A5 JP 2015531303 A JP2015531303 A JP 2015531303A JP 2015531303 A JP2015531303 A JP 2015531303A JP 2016502689 A5 JP2016502689 A5 JP 2016502689A5
Authority
JP
Japan
Prior art keywords
volatile
volatile logic
array
volatile storage
computing device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015531303A
Other languages
English (en)
Other versions
JP6322632B2 (ja
JP2016502689A (ja
Filing date
Publication date
Priority claimed from US13/770,448 external-priority patent/US9335954B2/en
Application filed filed Critical
Publication of JP2016502689A publication Critical patent/JP2016502689A/ja
Publication of JP2016502689A5 publication Critical patent/JP2016502689A5/ja
Application granted granted Critical
Publication of JP6322632B2 publication Critical patent/JP6322632B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (15)

  1. 不揮発性アレイバックアップからの演算デバイス揮発性ストレージシステム状態の復元の間ウェイク時間ピーク電力コストをカスタマイズするための方法であって、
    処理デバイスの複数の揮発性ストレージ要素によって表わされる機械状態を記憶するように構成される複数の不揮発性論理要素アレイを有する前記処理デバイスを製造すること であって、前記処理デバイスが、記憶された機械状態を前記複数の不揮発性論理要素アレイから前記複数の揮発性ストレージ要素へ読み出すことを可能にするように構成される、 前記処理デバイスを製造することを含み
    前記複数の不揮発性論理要素アレイの個々の不揮発性論理要素アレイにおける特定の数 のローとロー毎の特定の数のビットが、前記複数の不揮発性論理要素アレイの1つから一度に1つのローでデータを読むために用いられる時間に基づく目標のウェイクアップ時間と、前記複数の不揮発性論理要素アレイの前記の1つから同じ時間に所与の長さのビットのローを読むために用いられるピーク電力に基づくピーク電力コストとに基づいて製造 及び/又はイネーブルされる、方法。
  2. 請求項1に記載の方法であって、
    アレイ毎のピーク及び平均電力を決定するために前記不揮発性論理要素アレイの設計のシミュレーションを分析することを更に含む、方法。
  3. 請求項1に記載の方法であって、
    ピーク及び平均電力消費のためのアプリケーションコードを実行する前記コンピュ―ティングデバイス揮発性ストレージシステムのシミュレーションを分析することを更に含む、方法。
  4. 請求項1に記載の方法であって、
    アレイ毎のピーク及び平均電力を含む第1の分析結果を決定するために前記不揮発性論理要素アレイの設計のシミュレーションを分析すること
    前記コンピュ―ティングデバイス揮発性ストレージシステムのためのピーク及び平均電力消費を含む第2の分析結果を決定するためにアプリケーションコードを実行する前記コンピュ―ティングデバイス揮発性ストレージシステムのシミュレーションを分析すること
    前記複数の不揮発性論理要素アレイのための目標の設計を決定するために、前記第1の分析結果の少なくとも態様及び前記第2の分析結果の少なくとも態様を、前記目標のウェイクアップ時間及び前記ピーク電力コストと比較すること
    を更に含む、方法。
  5. 請求項4に記載の方法であって、
    結果を前記目標のウェイクアップ時間及び前記ピーク電力コストと比較することが、前記コンピュ―ティングデバイス揮発性ストレージシステム前記複数の不揮発性論理要素アレイのための計画された電力分布の容量を分析することを更に含む、方法。
  6. 不揮発性論理ベースの演算を提供するコンピュ―ティングデバイス装置であって、
    複数の不揮発性論理要素アレイ
    複数の揮発性ストレージ要素
    前記複数の揮発性ストレージ要素により表わされる機械状態を記憶、記憶された機械状態を前記複数の不揮発性論理要素アレイから前記複数の揮発性ストレージ要素へ読み出すため、前記複数の不揮発性論理要素アレイを制御するように構成される少なくとも1つの不揮発性論理コントローラ
    前記揮発性ストレージ要素の個々の揮発性ストレージ要素を、前記不揮発性論理要素アレイの1つ又は複数の対応する個々の不揮発性論理要素アレイに可変的に接続するように接続される、少なくとも1つのマルチプレクサ
    を含み、
    前記少なくとも1つの不揮発性論理コントローラが、入力信号に基づいて、並列に、順次に、又はそれらの任意の組み合わせでの前記複数の不揮発性アレイからのデータの記憶又はデータの読み取りの少なくとも一方を可変的に制御するように構成される、演算デバイス装置。
  7. 請求項6に記載の演算デバイス装置であって、
    前記少なくとも1つの不揮発性論理コントローラが、ユーザーインターフェースを介して前記入力信号を受信するように構成される、演算デバイス装置。
  8. 請求項6に記載の演算デバイス装置であって、
    前記少なくとも1つの不揮発性論理コントローラが、別個の演算要素から前記入力信号を受信するように構成される、演算デバイス装置。
  9. 請求項8に記載の演算デバイス装置であって、
    前記少なくとも1つの不揮発性論理コントローラが、前記別個の演算要素により実行されるアプリケーションから前記入力信号を受信するように構成される、演算デバイス装置。
  10. 請求項9に記載の演算デバイス装置であって、
    前記別個の演算要素が、前記コンピュ―ティングデバイス装置のための電力及び演算リソース要件の決定に少なくとも部分的に基づいて前記複数の不揮発性アレイのための読み取りシーケンスを決定するように前記アプリケーションを実行するように構成される、演算デバイス装置。
  11. 方法であって、
    複数の揮発性ストレージ要素によって表わされる機械状態を記憶、記憶された機械状態を複数の不揮発性論理要素アレイから前記複数の揮発性ストレージ要素へ読み出すように、前記複数の不揮発性論理要素アレイを制御すること、
    を含み、
    少なくとも1つの不揮発性論理コントローラが、入力信号に基づいて、並列に、順次に、又はそれらの任意の組み合わせでの前記複数の不揮発性アレイのデータの記憶又は 記複数の不揮発性アレイからのデータの読み取りの少なくとも一方を可変的に制御する、方法。
  12. 請求項11に記載の方法であって、
    ユーザーインターフェースを介して前記入力信号を受信することを更に含む、方法。
  13. 請求項11に記載の方法であって、
    前記少なくとも1つの不揮発性論理コントローラが別個の演算要素から前記入力信号を受信することを更に含む、方法。
  14. 請求項13に記載の方法であって、
    前記少なくとも1つの不揮発性論理コントローラが前記別個の演算要素により実行されるアプリケーションから前記入力信号を受信することを更に含む、方法。
  15. 請求項14に記載の方法であって、
    前記別個の演算要素が、前記コンピュ―ティングデバイス装置のための電力及び演算リソース要件の決定に少なくとも部分的に基づいて前記複数の不揮発性アレイのための読み取りシーケンスを決定する前記アプリケーションを実行することを更に含む、方法。
JP2015531303A 2012-09-10 2013-09-10 不揮発性論理アレイからのカスタマイズ可能なバックアップ及び復元 Active JP6322632B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261698906P 2012-09-10 2012-09-10
US61/698,906 2012-09-10
US13/770,448 2013-02-19
US13/770,448 US9335954B2 (en) 2012-09-10 2013-02-19 Customizable backup and restore from nonvolatile logic array
PCT/US2013/058875 WO2014040012A1 (en) 2012-09-10 2013-09-10 Customizable backup and restore from nonvolatile logic array

Publications (3)

Publication Number Publication Date
JP2016502689A JP2016502689A (ja) 2016-01-28
JP2016502689A5 true JP2016502689A5 (ja) 2016-11-10
JP6322632B2 JP6322632B2 (ja) 2018-05-09

Family

ID=50234569

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2015531313A Pending JP2015534675A (ja) 2012-09-10 2013-09-10 特定の機能利用可能性のための専用不揮発性アレイの制御
JP2015531303A Active JP6322632B2 (ja) 2012-09-10 2013-09-10 不揮発性論理アレイからのカスタマイズ可能なバックアップ及び復元
JP2015531319A Active JP6296513B2 (ja) 2012-09-10 2013-09-10 不揮発性ドメイン及びアレイウェイクアップ及びバックアップの構成ビットシーケンシング制御
JP2015531301A Active JP6336985B2 (ja) 2012-09-10 2013-09-10 処理デバイスにおける不揮発性論理アレイ及び電力ドメインのセグメント化

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015531313A Pending JP2015534675A (ja) 2012-09-10 2013-09-10 特定の機能利用可能性のための専用不揮発性アレイの制御

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2015531319A Active JP6296513B2 (ja) 2012-09-10 2013-09-10 不揮発性ドメイン及びアレイウェイクアップ及びバックアップの構成ビットシーケンシング制御
JP2015531301A Active JP6336985B2 (ja) 2012-09-10 2013-09-10 処理デバイスにおける不揮発性論理アレイ及び電力ドメインのセグメント化

Country Status (4)

Country Link
US (18) US20140075175A1 (ja)
JP (4) JP2015534675A (ja)
CN (12) CN103678034B (ja)
WO (9) WO2014040065A1 (ja)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6185311B2 (ja) * 2012-07-20 2017-08-23 株式会社半導体エネルギー研究所 電源制御回路、及び信号処理回路
US8996736B2 (en) * 2013-02-01 2015-03-31 Broadcom Corporation Clock domain crossing serial interface, direct latching, and response codes
KR20140102070A (ko) * 2013-02-13 2014-08-21 삼성전자주식회사 사용자 디바이스의 패스트 부팅 방법 및 장치
US8953365B2 (en) * 2013-06-07 2015-02-10 International Business Machines Corporation Capacitor backup for SRAM
US8908463B1 (en) * 2013-07-29 2014-12-09 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and control method thereof
US9100002B2 (en) * 2013-09-12 2015-08-04 Micron Technology, Inc. Apparatus and methods for leakage current reduction in integrated circuits
US9454437B2 (en) 2013-09-24 2016-09-27 Texas Instruments Incorporated Non-volatile logic based processing device
EP3137990A4 (en) * 2014-04-29 2017-12-27 Hewlett-Packard Development Company, L.P. Resuming a system using state information
US9286056B2 (en) * 2014-05-19 2016-03-15 International Business Machines Corporation Reducing storage facility code load suspend rate by redundancy check
US9395797B2 (en) * 2014-07-02 2016-07-19 Freescale Semiconductor, Inc. Microcontroller with multiple power modes
US10847242B2 (en) 2014-07-23 2020-11-24 Texas Instruments Incorporated Computing register with non-volatile-logic data storage
US9753086B2 (en) * 2014-10-02 2017-09-05 Samsung Electronics Co., Ltd. Scan flip-flop and scan test circuit including the same
WO2016068840A1 (en) * 2014-10-27 2016-05-06 Hewlett Packard Enterprise Development Lp Backup power communication
WO2016069003A1 (en) * 2014-10-31 2016-05-06 Hewlett Packard Enterprise Development Lp Backup power supply cell in memory device
TWI533319B (zh) * 2014-11-20 2016-05-11 財團法人工業技術研究院 非揮發性記憶體裝置及其控制方法
JP6582435B2 (ja) * 2015-02-24 2019-10-02 セイコーエプソン株式会社 集積回路装置及び電子機器
US10037071B2 (en) 2015-02-25 2018-07-31 Texas Instruments Incorporated Compute through power loss approach for processing device having nonvolatile logic memory
US10303235B2 (en) 2015-03-04 2019-05-28 Qualcomm Incorporated Systems and methods for implementing power collapse in a memory
US9986569B2 (en) 2015-03-18 2018-05-29 Microsoft Technology Licensing, Llc Battery-backed RAM for wearable devices
US9830093B2 (en) * 2015-03-27 2017-11-28 Intel Corporation Method and apparatus for improving immunity to defects in a non-volatile memory
US10048893B2 (en) * 2015-05-07 2018-08-14 Apple Inc. Clock/power-domain crossing circuit with asynchronous FIFO and independent transmitter and receiver sides
US9859358B2 (en) * 2015-05-26 2018-01-02 Altera Corporation On-die capacitor (ODC) structure
TWI522794B (zh) * 2015-06-10 2016-02-21 國立成功大學 節能非揮發性微處理器
US10120815B2 (en) * 2015-06-18 2018-11-06 Microchip Technology Incorporated Configurable mailbox data buffer apparatus
US9785362B2 (en) * 2015-07-16 2017-10-10 Qualcomm Incorporated Method and apparatus for managing corruption of flash memory contents
CN106716543B (zh) * 2015-07-21 2021-05-07 京微雅格(北京)科技有限公司 Fpga的配置存储器上电初始化的电路和方法
US9449655B1 (en) * 2015-08-31 2016-09-20 Cypress Semiconductor Corporation Low standby power with fast turn on for non-volatile memory devices
US10581410B2 (en) 2015-09-10 2020-03-03 Samsung Electronics Co., Ltd High speed domino-based flip flop
US10838818B2 (en) 2015-09-18 2020-11-17 Hewlett Packard Enterprise Development Lp Memory persistence from a volatile memory to a non-volatile memory
US11016770B2 (en) 2015-09-19 2021-05-25 Microsoft Technology Licensing, Llc Distinct system registers for logical processors
US11126433B2 (en) 2015-09-19 2021-09-21 Microsoft Technology Licensing, Llc Block-based processor core composition register
US9673787B2 (en) * 2015-09-22 2017-06-06 Qualcomm Incorporated Power multiplexing with flip-flops
US9564897B1 (en) 2015-10-06 2017-02-07 Samsung Electronics Co., Ltd Apparatus for low power high speed integrated clock gating cell
US9933954B2 (en) * 2015-10-19 2018-04-03 Nxp Usa, Inc. Partitioned memory having pipeline writes
US10452594B2 (en) 2015-10-20 2019-10-22 Texas Instruments Incorporated Nonvolatile logic memory for computing module reconfiguration
CN108027710B (zh) * 2015-12-03 2020-11-06 株式会社日立制作所 在软件定义的存储系统中进行高速缓存的方法和装置
US10007519B2 (en) * 2015-12-22 2018-06-26 Intel IP Corporation Instructions and logic for vector bit field compression and expansion
US9836071B2 (en) * 2015-12-29 2017-12-05 Silicon Laboratories Inc. Apparatus for multiple-input power architecture for electronic circuitry and associated methods
US10331203B2 (en) 2015-12-29 2019-06-25 Texas Instruments Incorporated Compute through power loss hardware approach for processing device having nonvolatile logic memory
US9964986B2 (en) * 2015-12-29 2018-05-08 Silicon Laboratories Inc. Apparatus for power regulator with multiple inputs and associated methods
CN106936422B (zh) * 2015-12-30 2022-12-30 格科微电子(上海)有限公司 电平转换电路
US10591902B2 (en) 2016-01-03 2020-03-17 Purdue Research Foundation Microcontroller energy management system
US10254967B2 (en) 2016-01-13 2019-04-09 Sandisk Technologies Llc Data path control for non-volatile memory
US10404240B2 (en) 2016-01-28 2019-09-03 Samsung Electronics Co., Ltd. Semiconductor device comprising low power retention flip-flop
US10608615B2 (en) * 2016-01-28 2020-03-31 Samsung Electronics Co., Ltd. Semiconductor device including retention reset flip-flop
KR102378150B1 (ko) * 2016-01-28 2022-03-24 삼성전자주식회사 저 전력 리텐션 플립-플롭을 포함하는 반도체 장치
US9824729B2 (en) 2016-03-25 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Memory macro and method of operating the same
US20170300101A1 (en) * 2016-04-14 2017-10-19 Advanced Micro Devices, Inc. Redirecting messages from idle compute units of a processor
US9766827B1 (en) * 2016-05-10 2017-09-19 Intel Corporation Apparatus for data retention and supply noise mitigation using clamps
CN106407048B (zh) * 2016-05-25 2019-04-05 清华大学 输入输出通信接口、基于该接口的数据备份和恢复方法
US10539617B2 (en) * 2016-06-02 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Scan architecture for interconnect testing in 3D integrated circuits
CN106230839B (zh) * 2016-08-03 2020-02-07 青岛海信宽带多媒体技术有限公司 实时流式传输的接收控制方法和装置
CN106406767A (zh) * 2016-09-26 2017-02-15 上海新储集成电路有限公司 一种非易失性双列直插式存储器及存储方法
KR102506838B1 (ko) * 2016-09-30 2023-03-08 에스케이하이닉스 주식회사 반도체 장치 및 그의 동작 방법
US10528255B2 (en) 2016-11-11 2020-01-07 Sandisk Technologies Llc Interface for non-volatile memory
US10528286B2 (en) 2016-11-11 2020-01-07 Sandisk Technologies Llc Interface for non-volatile memory
US10528267B2 (en) 2016-11-11 2020-01-07 Sandisk Technologies Llc Command queue for storage operations
US10114589B2 (en) * 2016-11-16 2018-10-30 Sandisk Technologies Llc Command control for multi-core non-volatile memory
KR20180092430A (ko) * 2017-02-09 2018-08-20 에스케이하이닉스 주식회사 데이터 저장 장치 및 그것의 동작 방법
CN106991022B (zh) * 2017-03-07 2020-12-18 记忆科技(深圳)有限公司 一种基于扫描链的芯片分析方法
US9947419B1 (en) 2017-03-28 2018-04-17 Qualcomm Incorporated Apparatus and method for implementing design for testability (DFT) for bitline drivers of memory circuits
US10298235B2 (en) * 2017-04-02 2019-05-21 Samsung Electronics Co., Ltd. Low power integrated clock gating cell using controlled inverted clock
US10430302B2 (en) 2017-04-12 2019-10-01 Qualcomm Incorporated Data retention with data migration
US10419004B2 (en) * 2017-04-21 2019-09-17 Windbond Electronics Corporation NVFF monotonic counter and method of implementing same
US10224072B2 (en) * 2017-05-26 2019-03-05 Micron Technology, Inc. Error detection code hold pattern synchronization
US10153020B1 (en) * 2017-06-09 2018-12-11 Micron Technology, Inc. Dual mode ferroelectric memory cell operation
US10845866B2 (en) * 2017-06-22 2020-11-24 Micron Technology, Inc. Non-volatile memory system or sub-system
WO2019019098A1 (en) 2017-07-27 2019-01-31 Texas Instruments Incorporated NON-VOLATILE COUNTER SYSTEM, METER CIRCUIT, AND POWER MANAGEMENT CIRCUIT HAVING ISOLATED DYNAMIC AMPLIFIED POWER SUPPLY
US10083973B1 (en) * 2017-08-09 2018-09-25 Micron Technology, Inc. Apparatuses and methods for reading memory cells
US10388335B2 (en) 2017-08-14 2019-08-20 Micron Technology, Inc. Sense amplifier schemes for accessing memory cells
CN107608824B (zh) * 2017-09-01 2020-07-31 中国科学院计算技术研究所 一种非易失性计算装置及其工作方法
KR102244921B1 (ko) 2017-09-07 2021-04-27 삼성전자주식회사 저장 장치 및 그 리프레쉬 방법
WO2019129389A1 (en) * 2017-12-26 2019-07-04 Silicon Mobility Sas Flexible logic unit adapted for real-time task switching
US10981576B2 (en) 2017-12-27 2021-04-20 Micron Technology, Inc. Determination of reliability of vehicle control commands via memory test
KR102427638B1 (ko) * 2018-01-10 2022-08-01 삼성전자주식회사 비휘발성 메모리 장치 및 이의 읽기 방법
KR102518370B1 (ko) * 2018-01-19 2023-04-05 삼성전자주식회사 저장 장치 및 이의 디버깅 시스템
US10217496B1 (en) * 2018-02-28 2019-02-26 Arm Limited Bitline write assist circuitry
KR102469098B1 (ko) * 2018-03-21 2022-11-23 에스케이하이닉스 주식회사 불휘발성 메모리 장치, 불휘발성 메모리 장치의 동작 방법 및 이를 포함하는 데이터 저장 장치
US10290340B1 (en) 2018-03-29 2019-05-14 Qualcomm Technologies, Incorporated Offset-canceling (OC) write operation sensing circuits for sensing switching in a magneto-resistive random access memory (MRAM) bit cell in an MRAM for a write operation
WO2019203019A1 (ja) * 2018-04-19 2019-10-24 ソニーセミコンダクタソリューションズ株式会社 不揮発性記憶回路
US10638584B2 (en) * 2018-04-24 2020-04-28 Current Lighting Solutions, Llc System and method for communicating between non-networked monitoring device and networked lighting controllers
US10621387B2 (en) 2018-05-30 2020-04-14 Seagate Technology Llc On-die decoupling capacitor area optimization
JP2019215941A (ja) * 2018-06-11 2019-12-19 一般財団法人生産技術研究奨励会 強誘電体キャパシタを備える不揮発性sram
US10979034B1 (en) * 2018-06-19 2021-04-13 Xilinx, Inc. Method and apparatus for multi-voltage domain sequential elements
CN108962311B (zh) * 2018-07-06 2020-12-11 孤山电子科技(上海)有限公司 一种顺序进入和退出低功耗状态的sram控制电路及方法
US11314596B2 (en) 2018-07-20 2022-04-26 Winbond Electronics Corp. Electronic apparatus and operative method
CN109144232B (zh) * 2018-08-01 2020-12-01 Oppo广东移动通信有限公司 进程处理方法和装置、电子设备、计算机可读存储介质
TWI703433B (zh) * 2018-08-27 2020-09-01 華邦電子股份有限公司 電子裝置及其操作方法
CN109188246B (zh) * 2018-09-06 2020-09-08 长沙理工大学 一种安全的加密芯片可测试性设计结构
KR102546652B1 (ko) 2018-09-07 2023-06-22 삼성전자주식회사 반도체 메모리 장치 및 이를 포함하는 메모리 시스템
CN111061358B (zh) * 2018-10-15 2021-05-25 珠海格力电器股份有限公司 一种无时钟芯片唤醒电路、唤醒方法、芯片
US11106539B2 (en) * 2018-10-25 2021-08-31 EMC IP Holding Company LLC Rule book based retention management engine
US11507175B2 (en) * 2018-11-02 2022-11-22 Micron Technology, Inc. Data link between volatile memory and non-volatile memory
CN109245756B (zh) * 2018-11-07 2023-10-03 深圳讯达微电子科技有限公司 一种减小电源域切换噪声的方法及芯片输出接口电路
CN111381654B (zh) * 2018-12-29 2022-01-11 成都海光集成电路设计有限公司 负载探测电路、soc系统、及负载探测电路的配置方法
US10925154B2 (en) * 2019-01-31 2021-02-16 Texas Instruments Incorporated Tamper detection
EP3710268A1 (en) * 2019-02-06 2020-09-23 Hewlett-Packard Development Company, L.P. Integrated circuits including customization bits
US20200285780A1 (en) * 2019-03-06 2020-09-10 Nvidia Corp. Cross domain voltage glitch detection circuit for enhancing chip security
CN110018929B (zh) * 2019-04-11 2020-11-10 苏州浪潮智能科技有限公司 一种数据备份方法、装置、设备及存储介质
US10637462B1 (en) 2019-05-30 2020-04-28 Xilinx, Inc. System and method for SoC power-up sequencing
WO2020252452A1 (en) 2019-06-13 2020-12-17 Arris Enterprises Llc Electronic persistent switch
CN110189704B (zh) * 2019-06-28 2021-10-15 上海天马有机发光显示技术有限公司 一种电致发光显示面板、其驱动方法及显示装置
US10964356B2 (en) * 2019-07-03 2021-03-30 Qualcomm Incorporated Compute-in-memory bit cell
JP7214602B2 (ja) 2019-09-24 2023-01-30 株式会社東芝 半導体装置、及び半導体装置の制御方法
CN114503082B (zh) * 2019-10-09 2024-01-30 美光科技公司 配备有数据保护方案的存储器装置
US11520658B2 (en) 2019-10-31 2022-12-06 Arm Limited Non-volatile memory on chip
CN111049513B (zh) * 2019-11-29 2023-08-08 北京时代民芯科技有限公司 一种带冷备份功能的轨到轨总线保持电路
CN112947738A (zh) * 2019-12-10 2021-06-11 珠海全志科技股份有限公司 一种智能终端电源系统及智能终端待机、唤醒方法
US11488879B2 (en) * 2019-12-30 2022-11-01 Micron Technology, Inc. Methods and apparatuses to wafer-level test adjacent semiconductor die
US11088678B1 (en) 2020-02-11 2021-08-10 Xilinx, Inc. Pulsed flip-flop capable of being implemented across multiple voltage domains
TWI767212B (zh) * 2020-04-16 2022-06-11 晶豪科技股份有限公司 有助於使記憶體系統在電源開啟期間提前運作的方法、使用該方法的記憶體控制器以及能夠在電源開啟期間提前運作的記憶體系統
US11366162B2 (en) 2020-04-16 2022-06-21 Mediatek Inc. Scan output flip-flop with power saving feature
CN111580475A (zh) * 2020-04-29 2020-08-25 苏州欧立通自动化科技有限公司 基于olt-mfic01控制器的多功能工业控制方法
US11018687B1 (en) * 2020-05-13 2021-05-25 Qualcomm Incorporated Power-efficient compute-in-memory analog-to-digital converters
US11803226B2 (en) * 2020-05-14 2023-10-31 Stmicroelectronics S.R.L. Methods and devices to conserve microcontroller power
CN111431536B (zh) * 2020-05-18 2023-05-02 深圳市九天睿芯科技有限公司 子单元、mac阵列、位宽可重构的模数混合存内计算模组
CN113760071B (zh) * 2020-06-02 2023-08-25 晶豪科技股份有限公司 在电源开启期间提前运行存储器系统的方法、控制器及其系统
US11416057B2 (en) * 2020-07-27 2022-08-16 EMC IP Holding Company LLC Power disruption data protection
CN112162898A (zh) * 2020-09-07 2021-01-01 深圳比特微电子科技有限公司 算力芯片阵列的状态信息获取系统、方法和虚拟货币矿机
US11626156B2 (en) * 2020-12-02 2023-04-11 Qualcomm Incorporated Compute-in-memory (CIM) bit cell circuits each disposed in an orientation of a cim bit cell circuit layout including a read word line (RWL) circuit in a cim bit cell array circuit
US11442106B2 (en) 2020-12-14 2022-09-13 Western Digital Technologies, Inc. Method and apparatus for debugging integrated circuit systems using scan chain
US20220198022A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Secure device power-up apparatus and method
US11631455B2 (en) 2021-01-19 2023-04-18 Qualcomm Incorporated Compute-in-memory bitcell with capacitively-coupled write operation
CN112965010B (zh) * 2021-02-07 2023-04-07 潍柴动力股份有限公司 一种电子执行器的故障检测方法、装置、电控设备及介质
CN113359935B (zh) * 2021-06-10 2022-09-09 海光信息技术股份有限公司 Soc电源域的电压调节方法、装置及存储介质
CN113254289B (zh) * 2021-06-11 2021-10-15 武汉卓目科技有限公司 基于NVMe磁盘阵列的单机测试方法、装置及系统
US11996144B2 (en) * 2021-06-15 2024-05-28 Seagate Technology Llc Non-volatile memory cell with multiple ferroelectric memory elements (FMEs)
CN113409165B (zh) * 2021-08-19 2021-12-07 清华四川能源互联网研究院 电力数据集成方法、装置、电子设备及可读存储介质
US11854587B2 (en) 2021-12-03 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Low power wake up for memory
KR20230092151A (ko) * 2021-12-17 2023-06-26 삼성전자주식회사 스토리지 장치 및 이의 동작 방법
TWI803119B (zh) 2021-12-29 2023-05-21 新唐科技股份有限公司 資料保持電路和方法

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS607854B2 (ja) * 1977-10-28 1985-02-27 株式会社東芝 単安定マルチバイブレ−タ回路
US5317752A (en) * 1989-12-22 1994-05-31 Tandem Computers Incorporated Fault-tolerant computer system with auto-restart after power-fall
JP3430231B2 (ja) * 1994-09-21 2003-07-28 富士通株式会社 論理セル及びこれを用いた半導体集積回路
JPH0897685A (ja) * 1994-09-22 1996-04-12 Fujitsu Ltd フリップフロップ回路
US5847577A (en) * 1995-02-24 1998-12-08 Xilinx, Inc. DRAM memory cell for programmable logic devices
US5627784A (en) 1995-07-28 1997-05-06 Micron Quantum Devices, Inc. Memory system having non-volatile data storage structure for memory control parameters and method
US6336161B1 (en) * 1995-12-15 2002-01-01 Texas Instruments Incorporated Computer configuration system and method with state and restoration from non-volatile semiconductor memory
US5773993A (en) * 1996-09-26 1998-06-30 Xilinx, Inc. Configurable electronic device which is compatible with a configuration bitstream of a prior generation configurable electronic device
US6295514B1 (en) * 1996-11-04 2001-09-25 3-Dimensional Pharmaceuticals, Inc. Method, system, and computer program product for representing similarity/dissimilarity between chemical compounds
US6418506B1 (en) 1996-12-31 2002-07-09 Intel Corporation Integrated circuit memory and method for transferring data using a volatile memory to buffer data for a nonvolatile memory array
KR100281535B1 (ko) * 1997-02-12 2001-02-15 윤종용 컴퓨터 시스템 및 그의 제어 방법
US6185660B1 (en) * 1997-09-23 2001-02-06 Hewlett-Packard Company Pending access queue for providing data to a target register during an intermediate pipeline phase after a computer cache miss
US6127843A (en) * 1997-12-22 2000-10-03 Vantis Corporation Dual port SRAM memory for run time use in FPGA integrated circuits
US7398286B1 (en) * 1998-03-31 2008-07-08 Emc Corporation Method and system for assisting in backups and restore operation over different channels
US6226556B1 (en) * 1998-07-09 2001-05-01 Motorola Inc. Apparatus with failure recovery and method therefore
US6513097B1 (en) * 1999-03-03 2003-01-28 International Business Machines Corporation Method and system for maintaining information about modified data in cache in a storage system for use during a system failure
US6137711A (en) * 1999-06-17 2000-10-24 Agilent Technologies Inc. Ferroelectric random access memory device including shared bit lines and fragmented plate lines
US6542000B1 (en) * 1999-07-30 2003-04-01 Iowa State University Research Foundation, Inc. Nonvolatile programmable logic devices
JP2001188689A (ja) * 2000-01-04 2001-07-10 Mitsubishi Electric Corp データ処理装置
DE60133595T2 (de) * 2000-01-07 2009-04-30 Nippon Telegraph And Telephone Corp. Funktionsrekonfigurierbare Halbleitervorrichtung und integrierte Schaltung zum Konfigurieren der Halbleitervorrichtung
US6851065B2 (en) * 2001-09-10 2005-02-01 Dell Products L.P. System and method for executing resume tasks during a suspend routine
US7046687B1 (en) * 2002-01-16 2006-05-16 Tau Networks Configurable virtual output queues in a scalable switching system
EP1331736A1 (en) * 2002-01-29 2003-07-30 Texas Instruments France Flip-flop with reduced leakage current
EP1351146A1 (en) * 2002-04-04 2003-10-08 Hewlett-Packard Company Power management system and method with recovery after power failure
DE10219652B4 (de) * 2002-05-02 2007-01-11 Infineon Technologies Ag Speicherschaltung und Verfahren zum Betreiben einer Speicherschaltung
EP1363132B1 (en) * 2002-05-13 2007-09-05 STMicroelectronics Pvt. Ltd A method and device for testing of configuration memory cells in programmable logic devices (PLDS)
US6976131B2 (en) * 2002-08-23 2005-12-13 Intel Corporation Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
US7017038B1 (en) * 2002-08-26 2006-03-21 Network Equipment Technologies, Inc. Method and system to provide first boot to a CPU system
JP3986393B2 (ja) * 2002-08-27 2007-10-03 富士通株式会社 不揮発性データ記憶回路を有する集積回路装置
US6901298B1 (en) * 2002-09-30 2005-05-31 Rockwell Automation Technologies, Inc. Saving and restoring controller state and context in an open operating system
JP3910902B2 (ja) * 2002-10-02 2007-04-25 松下電器産業株式会社 集積回路装置
JP2004133969A (ja) * 2002-10-08 2004-04-30 Renesas Technology Corp 半導体装置
US7031192B1 (en) * 2002-11-08 2006-04-18 Halo Lsi, Inc. Non-volatile semiconductor memory and driving method
US6898687B2 (en) * 2002-12-13 2005-05-24 Sun Microsystems, Inc. System and method for synchronizing access to shared resources
US6917967B2 (en) * 2002-12-13 2005-07-12 Sun Microsystems, Inc. System and method for implementing shared memory regions in distributed shared memory systems
US7028147B2 (en) * 2002-12-13 2006-04-11 Sun Microsystems, Inc. System and method for efficiently and reliably performing write cache mirroring
JP3756882B2 (ja) * 2003-02-20 2006-03-15 株式会社東芝 情報処理装置及び情報処理方法
EP1598829B1 (en) * 2003-02-27 2009-07-01 Fujitsu Microelectronics Limited Semiconductor memory
US7836339B2 (en) * 2003-03-31 2010-11-16 Intel Corporation Computer memory power backup
US7069522B1 (en) 2003-06-02 2006-06-27 Virage Logic Corporation Various methods and apparatuses to preserve a logic state for a volatile latch circuit
JP2006526831A (ja) * 2003-06-03 2006-11-24 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 不揮発性メモリからのブート
US7079148B2 (en) * 2003-07-23 2006-07-18 Hewlett-Packard Development Company, L.P. Non-volatile memory parallel processor
US7287126B2 (en) * 2003-07-30 2007-10-23 Intel Corporation Methods and apparatus for maintaining cache coherency
US20050027946A1 (en) * 2003-07-30 2005-02-03 Desai Kiran R. Methods and apparatus for filtering a cache snoop
US7170315B2 (en) 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US20050093572A1 (en) 2003-11-03 2005-05-05 Macronix International Co., Ltd. In-circuit configuration architecture with configuration on initialization function for embedded configurable logic array
US20050097499A1 (en) * 2003-11-03 2005-05-05 Macronix International Co., Ltd. In-circuit configuration architecture with non-volatile configuration store for embedded configurable logic array
US7227383B2 (en) * 2004-02-19 2007-06-05 Mosaid Delaware, Inc. Low leakage and data retention circuitry
US7183825B2 (en) 2004-04-06 2007-02-27 Freescale Semiconductor, Inc. State retention within a data processing system
US7536506B2 (en) * 2004-06-21 2009-05-19 Dot Hill Systems Corporation RAID controller using capacitor energy source to flush volatile cache data to non-volatile memory during main power outage
US7135886B2 (en) 2004-09-20 2006-11-14 Klp International, Ltd. Field programmable gate arrays using both volatile and nonvolatile memory cell properties and their control
JP2006100991A (ja) * 2004-09-28 2006-04-13 Matsushita Electric Ind Co Ltd 不揮発性論理回路及びそれを有するシステムlsi
ATE347731T1 (de) 2004-10-04 2006-12-15 Research In Motion Ltd System und verfahren zum datensichern bei stromausfall
US20060080515A1 (en) * 2004-10-12 2006-04-13 Lefthand Networks, Inc. Non-Volatile Memory Backup for Network Storage System
US7173859B2 (en) 2004-11-16 2007-02-06 Sandisk Corporation Faster programming of higher level states in multi-level cell flash memory
US7242218B2 (en) 2004-12-02 2007-07-10 Altera Corporation Techniques for combining volatile and non-volatile programmable logic on an integrated circuit
JP4713143B2 (ja) * 2004-12-15 2011-06-29 富士通セミコンダクター株式会社 半導体記憶装置
US7882299B2 (en) * 2004-12-21 2011-02-01 Sandisk Corporation System and method for use of on-chip non-volatile memory write cache
US20060227605A1 (en) 2005-01-05 2006-10-12 Choi David S Memory architectures including non-volatile memory devices
US7248090B2 (en) 2005-01-10 2007-07-24 Qualcomm, Incorporated Multi-threshold MOS circuits
US7778675B1 (en) * 2005-01-14 2010-08-17 American Megatrends, Inc. Remotely accessing a computing device in a low-power state
US7251168B1 (en) * 2005-02-01 2007-07-31 Xilinx, Inc. Interface for access to non-volatile memory on an integrated circuit
US7180348B2 (en) * 2005-03-24 2007-02-20 Arm Limited Circuit and method for storing data in operational and sleep modes
US7620773B2 (en) * 2005-04-15 2009-11-17 Microsoft Corporation In-line non volatile memory disk read cache and write buffer
US7394687B2 (en) 2005-05-09 2008-07-01 Nantero, Inc. Non-volatile-shadow latch using a nanotube switch
TWI324773B (en) 2005-05-09 2010-05-11 Nantero Inc Non-volatile shadow latch using a nanotube switch
US7639056B2 (en) 2005-05-26 2009-12-29 Texas Instruments Incorporated Ultra low area overhead retention flip-flop for power-down applications
JP2006344289A (ja) * 2005-06-08 2006-12-21 Toshiba Corp 強誘電体記憶装置
US7650549B2 (en) * 2005-07-01 2010-01-19 Texas Instruments Incorporated Digital design component with scan clock generation
US7480791B2 (en) 2005-09-15 2009-01-20 Intel Corporation Method and apparatus for quick resumption where the system may forego initialization of at least one memory range identified in the resume descriptor
US20080256551A1 (en) * 2005-09-21 2008-10-16 Freescale Semiconductor. Inc. System and Method For Storing State Information
US7409537B2 (en) * 2005-10-06 2008-08-05 Microsoft Corporation Fast booting an operating system from an off state
JP4662550B2 (ja) * 2005-10-20 2011-03-30 株式会社日立製作所 ストレージシステム
US20070101158A1 (en) * 2005-10-28 2007-05-03 Elliott Robert C Security region in a non-volatile memory
US20070136523A1 (en) * 2005-12-08 2007-06-14 Bonella Randy M Advanced dynamic disk memory module special operations
US8056088B1 (en) * 2005-12-13 2011-11-08 Nvidia Corporation Using scan chains for context switching
JP4915551B2 (ja) * 2006-03-16 2012-04-11 パナソニック株式会社 タイムスイッチ
US20070255889A1 (en) * 2006-03-22 2007-11-01 Yoav Yogev Non-volatile memory device and method of operating the device
US7360016B2 (en) * 2006-04-20 2008-04-15 Inventec Corporation Method of protecting data in cache memory of storage system
US20080028246A1 (en) * 2006-07-31 2008-01-31 Witham Timothy D Self-monitoring and self-adjusting power consumption computer control system
US8019929B2 (en) * 2006-09-13 2011-09-13 Rohm Co., Ltd. Data processing apparatus and data control circuit for use therein
US7765394B2 (en) * 2006-10-31 2010-07-27 Dell Products, Lp System and method for restoring a master boot record in association with accessing a hidden partition
KR100843208B1 (ko) * 2006-11-02 2008-07-02 삼성전자주식회사 반도체 칩 패키지 및 그 테스트 방법
US7817470B2 (en) * 2006-11-27 2010-10-19 Mosaid Technologies Incorporated Non-volatile memory serial core architecture
JP5523835B2 (ja) * 2006-12-06 2014-06-18 フュージョン−アイオー,インコーポレイテッド 高容量不揮発性ストレージ用のキャッシュとしてのソリッドステートストレージのための装置、システム、及び方法
EP2102962A4 (en) * 2006-12-14 2013-05-15 Otis Elevator Co ELEVATOR DRIVE SYSTEM WITH A RESCUE OPERATION CIRCUIT
US7908504B2 (en) * 2007-03-23 2011-03-15 Michael Feldman Smart batteryless backup device and method therefor
DE102007016170A1 (de) 2007-04-02 2008-10-09 Francotyp-Postalia Gmbh Sicherheitsmodul für eine Frankiermaschine
US7560965B2 (en) * 2007-04-30 2009-07-14 Freescale Semiconductor, Inc. Scannable flip-flop with non-volatile storage element and method
US20080307240A1 (en) 2007-06-08 2008-12-11 Texas Instruments Incorporated Power management electronic circuits, systems, and methods and processes of manufacture
US7831859B2 (en) * 2007-06-21 2010-11-09 The Board Of Regents, University Of Texas System Method for providing fault tolerance to multiple servers
US7583121B2 (en) * 2007-08-30 2009-09-01 Freescale Semiconductor, Inc. Flip-flop having logic state retention during a power down mode and method therefor
US8165621B2 (en) * 2007-10-10 2012-04-24 Unity Semiconductor Corporation Memory emulation in a cellular telephone
US7853912B2 (en) * 2007-11-05 2010-12-14 International Business Machines Corporation Arrangements for developing integrated circuit designs
US8024588B2 (en) 2007-11-28 2011-09-20 Mediatek Inc. Electronic apparatus having signal processing circuit selectively entering power saving mode according to operation status of receiver logic and related method thereof
US20090157946A1 (en) * 2007-12-12 2009-06-18 Siamak Arya Memory having improved read capability
US7827445B2 (en) * 2007-12-19 2010-11-02 International Business Machines Corporation Fault injection in dynamic random access memory modules for performing built-in self-tests
US7743191B1 (en) * 2007-12-20 2010-06-22 Pmc-Sierra, Inc. On-chip shared memory based device architecture
KR100908542B1 (ko) * 2007-12-24 2009-07-20 주식회사 하이닉스반도체 불휘발성 메모리 소자 및 그 프로그램 방법
US20090172251A1 (en) * 2007-12-26 2009-07-02 Unity Semiconductor Corporation Memory Sanitization
US7774540B2 (en) * 2007-12-26 2010-08-10 Hitachi Global Storage Technologies Netherlands B.V. Storage system and method for opportunistic write-verify
US7834660B2 (en) 2007-12-30 2010-11-16 Unity Semiconductor Corporation State machines using resistivity-sensitive memories
JP5140459B2 (ja) * 2008-02-28 2013-02-06 ローム株式会社 不揮発性記憶ゲートおよびその動作方法、および不揮発性記憶ゲート組込み型論理回路およびその動作方法
US8082384B2 (en) * 2008-03-26 2011-12-20 Microsoft Corporation Booting an electronic device using flash memory and a limited function memory controller
CN101286086B (zh) * 2008-06-10 2010-08-11 杭州华三通信技术有限公司 硬盘掉电保护方法、装置以及硬盘和硬盘掉电保护系统
US8325554B2 (en) 2008-07-10 2012-12-04 Sanmina-Sci Corporation Battery-less cache memory module with integrated backup
US7719876B2 (en) 2008-07-31 2010-05-18 Unity Semiconductor Corporation Preservation circuit and methods to maintain values representing data in one or more layers of memory
US8069300B2 (en) 2008-09-30 2011-11-29 Micron Technology, Inc. Solid state storage device controller with expansion mode
US20110197018A1 (en) 2008-10-06 2011-08-11 Sam Hyuk Noh Method and system for perpetual computing using non-volatile random access memory
US8825912B2 (en) 2008-11-12 2014-09-02 Microchip Technology Incorporated Dynamic state configuration restore
US7954006B1 (en) * 2008-12-02 2011-05-31 Pmc-Sierra, Inc. Method and apparatus for archiving data during unexpected power loss
JP4620152B2 (ja) * 2008-12-15 2011-01-26 富士通株式会社 ストレージシステム、ストレージ管理装置、ストレージ管理方法
US9003118B2 (en) * 2009-01-09 2015-04-07 Dell Products L.P. Systems and methods for non-volatile cache control
CN102257572A (zh) * 2009-01-12 2011-11-23 拉姆伯斯公司 具有内核时钟同步的均步信号传输系统
US7888965B2 (en) * 2009-01-29 2011-02-15 Texas Instruments Incorporated Defining a default configuration for configurable circuitry in an integrated circuit
US7990797B2 (en) * 2009-02-11 2011-08-02 Stec, Inc. State of health monitored flash backed dram module
US7983107B2 (en) * 2009-02-11 2011-07-19 Stec, Inc. Flash backed DRAM module with a selectable number of flash chips
US20100205349A1 (en) * 2009-02-11 2010-08-12 Stec, Inc. Segmented-memory flash backed dram module
WO2010093356A1 (en) 2009-02-11 2010-08-19 Stec, Inc. A flash backed dram module
EP2224344A1 (en) * 2009-02-27 2010-09-01 Panasonic Corporation A combined processing and non-volatile memory unit array
US8489801B2 (en) * 2009-03-04 2013-07-16 Henry F. Huang Non-volatile memory with hybrid index tag array
US7800856B1 (en) * 2009-03-24 2010-09-21 Western Digital Technologies, Inc. Disk drive flushing write cache to a nearest set of reserved tracks during a power failure
KR101504632B1 (ko) * 2009-03-25 2015-03-20 삼성전자주식회사 레이드를 사용한 장치들과 방법들
JP5289153B2 (ja) 2009-04-14 2013-09-11 キヤノン株式会社 情報処理装置及びその制御方法、並びにコンピュータプログラム
US8452734B2 (en) * 2009-04-30 2013-05-28 Texas Instruments Incorporated FAT file in reserved cluster with ready entry state
KR101562973B1 (ko) * 2009-05-22 2015-10-26 삼성전자 주식회사 메모리 장치 및 메모리 장치의 동작 방법
US20100325352A1 (en) * 2009-06-19 2010-12-23 Ocz Technology Group, Inc. Hierarchically structured mass storage device and method
GB2472050B (en) * 2009-07-22 2013-06-19 Wolfson Microelectronics Plc Power management apparatus and methods
US8542522B2 (en) * 2009-07-23 2013-09-24 Hewlett-Packard Development Company, L.P. Non-volatile data-storage latch
WO2011031899A2 (en) * 2009-09-09 2011-03-17 Fusion-Io, Inc. Apparatus, system, and method for power reduction in a storage device
EP2312418B1 (en) 2009-09-23 2011-09-21 ST-Ericsson SA Power supply start-up mechanism, apparatus, and method for controlling activation of power supply circuits
WO2011036668A1 (en) 2009-09-23 2011-03-31 Infinite Memories Ltd. Methods circuits data-structures devices and system for operating a non-volatile memory device
WO2011043012A1 (ja) 2009-10-05 2011-04-14 パナソニック株式会社 不揮発性半導体記憶装置、信号処理システム、及び信号処理システムの制御方法、並びに不揮発性半導体記憶装置の書き換え方法
US20110258355A1 (en) * 2009-10-13 2011-10-20 Ocz Technology Group, Inc. Modular mass storage devices and methods of using
CN102074998B (zh) * 2009-11-19 2013-03-20 国基电子(上海)有限公司 保护电路及以太网用电设备
US8677054B1 (en) * 2009-12-16 2014-03-18 Apple Inc. Memory management schemes for non-volatile memory devices
KR101729933B1 (ko) 2009-12-18 2017-04-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 불휘발성 래치 회로와 논리 회로, 및 이를 사용한 반도체 장치
KR20110094468A (ko) * 2010-02-16 2011-08-24 삼성전자주식회사 저장 매체의 마스터 부트 레코드 복구 방법, 저장 매체 구동 장치, 및 저장 매체
US8578144B2 (en) * 2010-08-04 2013-11-05 International Business Machines Corporation Partial hibernation restore for boot time reduction
US8904161B2 (en) * 2010-10-20 2014-12-02 Samsung Electronics Co., Ltd. Memory system and reset method thereof to prevent nonvolatile memory corruption due to premature power loss
JP5549535B2 (ja) * 2010-10-22 2014-07-16 富士通株式会社 情報処理装置,制御方法および制御装置
US8381163B2 (en) * 2010-11-22 2013-02-19 Advanced Micro Devices, Inc. Power-gated retention flops
EP2652623B1 (en) * 2010-12-13 2018-08-01 SanDisk Technologies LLC Apparatus, system, and method for auto-commit memory
US9251005B2 (en) * 2010-12-20 2016-02-02 Avago Technologies General Ip (Singapore) Pte. Ltd. Power isolation for memory backup
US8738843B2 (en) * 2010-12-20 2014-05-27 Lsi Corporation Data manipulation during memory backup
KR20120085968A (ko) * 2011-01-25 2012-08-02 삼성전자주식회사 컴퓨팅 시스템의 부팅 방법 및 이를 수행하는 컴퓨팅 시스템
US10079068B2 (en) * 2011-02-23 2018-09-18 Avago Technologies General Ip (Singapore) Pte. Ltd. Devices and method for wear estimation based memory management
US8819471B2 (en) * 2011-06-03 2014-08-26 Apple Inc. Methods and apparatus for power state based backup
JP5833347B2 (ja) * 2011-06-08 2015-12-16 ローム株式会社 データ処理装置
US8792273B2 (en) * 2011-06-13 2014-07-29 SMART Storage Systems, Inc. Data storage system with power cycle management and method of operation thereof
EP2761467B1 (en) * 2011-09-30 2019-10-23 Intel Corporation Generation of far memory access signals based on usage statistic tracking
JP5476363B2 (ja) * 2011-12-19 2014-04-23 レノボ・シンガポール・プライベート・リミテッド 生体認証装置を利用したコンピュータの起動方法およびコンピュータ
US9251052B2 (en) * 2012-01-12 2016-02-02 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for profiling a non-volatile cache having a logical-to-physical translation layer
US8972660B2 (en) * 2012-06-11 2015-03-03 Hitachi, Ltd. Disk subsystem and data restoration method
US9103880B2 (en) * 2012-07-02 2015-08-11 Microsemi SoC Corporation On-chip probe circuit for detecting faults in an FPGA

Similar Documents

Publication Publication Date Title
JP2016502689A5 (ja)
WO2019126416A3 (en) Auto-referenced memory cell read techniques
US9489148B2 (en) Selecting between non-volatile memory units having different minimum addressable data unit sizes
CN105474323B (zh) 刷新存储器单元的电压值的存储器控制器和方法
JP2012113809A5 (ja) フラッシュメモリ装置のメモリセルを読み出す方法
JP2013542494A5 (ja)
WO2010115726A3 (en) Analyzing monitor data information from memory devices having finite endurance and/or retention
WO2009072104A3 (en) Flash memory device with physical cell value deterioration accommodation and methods useful in conjunction therewith
TW201612906A (en) Apparatuses and methods for parity determination using sensing circuitry
WO2013028434A3 (en) Memory device readout using multiple sense times
MX2009001345A (es) Elemento y metodo de almacenamiento de estado solido.
CN107590535A (zh) 可编程神经网络处理器
WO2009139567A3 (en) Memory device and memory programming method
JP2014026712A5 (ja)
JP2014164769A5 (ja)
EP2779175A3 (en) Retention check logic for non-volatile memory
WO2015081129A3 (en) Hard power fail architecture
US10445232B2 (en) Determining control states for address mapping in non-volatile memories
CN107797855A (zh) 存储器系统及其操作方法
EP3216027A1 (en) Test of semiconductor storage power consumption on basis of executed access commands
JP2013179378A5 (ja)
US20200401353A1 (en) Resource allocation in memory systems based on operation modes
JP2014132490A5 (ja)
IL257898A (en) Exception handling
CN102103643A (zh) 芯片测试中存储测试向量的方法