ATE347731T1 - System und verfahren zum datensichern bei stromausfall - Google Patents

System und verfahren zum datensichern bei stromausfall

Info

Publication number
ATE347731T1
ATE347731T1 AT04104845T AT04104845T ATE347731T1 AT E347731 T1 ATE347731 T1 AT E347731T1 AT 04104845 T AT04104845 T AT 04104845T AT 04104845 T AT04104845 T AT 04104845T AT E347731 T1 ATE347731 T1 AT E347731T1
Authority
AT
Austria
Prior art keywords
volatile memory
power failure
case
data backup
written
Prior art date
Application number
AT04104845T
Other languages
English (en)
Inventor
Richard C Madter
Karin Alicia Werder
Wei Yao Huang
Original Assignee
Research In Motion Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Research In Motion Ltd filed Critical Research In Motion Ltd
Application granted granted Critical
Publication of ATE347731T1 publication Critical patent/ATE347731T1/de

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • G11C5/141Battery and back-up supplies
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0804Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with main memory updating
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4072Circuits for initialization, powering up or down, clearing memory or presetting
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4074Power supply or voltage generation circuits, e.g. bias voltage generators, substrate voltage generators, back-up power, power control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/30Power supply circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/20Memory cell initialisation circuits, e.g. when powering up or down, memory clear, latent image memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/20Employing a main memory using a specific memory technology
    • G06F2212/202Non-volatile memory
    • G06F2212/2022Flash memory
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)
  • Selective Calling Equipment (AREA)
  • Read Only Memory (AREA)
AT04104845T 2004-10-04 2004-10-04 System und verfahren zum datensichern bei stromausfall ATE347731T1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP04104845A EP1643506B1 (de) 2004-10-04 2004-10-04 System und Verfahren zum Datensichern bei Stromausfall

Publications (1)

Publication Number Publication Date
ATE347731T1 true ATE347731T1 (de) 2006-12-15

Family

ID=34929652

Family Applications (1)

Application Number Title Priority Date Filing Date
AT04104845T ATE347731T1 (de) 2004-10-04 2004-10-04 System und verfahren zum datensichern bei stromausfall

Country Status (5)

Country Link
US (1) US7634688B2 (de)
EP (1) EP1643506B1 (de)
AT (1) ATE347731T1 (de)
CA (1) CA2522122C (de)
DE (1) DE602004003583T2 (de)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8886706B1 (en) 2005-03-31 2014-11-11 Google Inc. Server-based backup system for user data
US8694589B2 (en) 2005-03-31 2014-04-08 Google Inc. Methods and systems for saving draft electronic communications
JP2007164606A (ja) * 2005-12-15 2007-06-28 Fujitsu Ltd メモリ装置
US8745315B2 (en) * 2006-11-06 2014-06-03 Rambus Inc. Memory Systems and methods supporting volatile and wear-leveled nonvolatile physical memory
KR100833512B1 (ko) * 2006-12-08 2008-05-29 한국전자통신연구원 태그의 센싱 데이터 저장 장치 및 그 방법
US20080159195A1 (en) * 2006-12-29 2008-07-03 Kappler Elizabeth M Integration of wired and wireless network connections
JP5026102B2 (ja) * 2007-02-07 2012-09-12 株式会社日立製作所 ストレージ制御装置及びデータ管理方法
US7908504B2 (en) * 2007-03-23 2011-03-15 Michael Feldman Smart batteryless backup device and method therefor
US8200885B2 (en) * 2007-07-25 2012-06-12 Agiga Tech Inc. Hybrid memory system with backup power source and multiple backup an restore methodology
US8046546B2 (en) * 2007-07-25 2011-10-25 AGIGA Tech Variable partitioning in a hybrid memory subsystem
US8074034B2 (en) * 2007-07-25 2011-12-06 Agiga Tech Inc. Hybrid nonvolatile ram
US8001419B2 (en) * 2007-11-13 2011-08-16 Rockwell Automation Technologies, Inc. Energy storage module
CN101187830B (zh) * 2007-12-27 2012-05-23 成都市华为赛门铁克科技有限公司 掉电保护方法、装置、逻辑器件及存储系统
US8285940B2 (en) * 2008-02-29 2012-10-09 Cadence Design Systems, Inc. Method and apparatus for high speed cache flushing in a non-volatile memory
US8037351B2 (en) * 2008-04-15 2011-10-11 Broadcom Corporation Apparatus and methods for restoring system operation states
US9842628B2 (en) 2008-07-10 2017-12-12 Agiga Tech Inc. Capacitor enablement voltage level adjustment method and apparatus
US20100073379A1 (en) * 2008-09-24 2010-03-25 Sadan Eray Berger Method and system for rendering real-time sprites
WO2010041852A2 (ko) * 2008-10-06 2010-04-15 Noh Sam Hyuk 비휘발성 램을 이용한 영속적 컴퓨팅 방법 및 시스템
CN101446926B (zh) * 2008-11-10 2011-06-01 成都市华为赛门铁克科技有限公司 一种高速缓冲存储器掉电数据保存方法、设备和系统
US8806271B2 (en) 2008-12-09 2014-08-12 Samsung Electronics Co., Ltd. Auxiliary power supply and user device including the same
US7830732B2 (en) * 2009-02-11 2010-11-09 Stec, Inc. Staged-backup flash backed dram module
US8566639B2 (en) * 2009-02-11 2013-10-22 Stec, Inc. Flash backed DRAM module with state of health and/or status information accessible through a configuration data bus
JP4930556B2 (ja) * 2009-07-09 2012-05-16 富士通株式会社 退避処理装置、退避処理方法およびストレージシステム
US8479061B2 (en) * 2009-09-24 2013-07-02 AGIGA Tech Solid state memory cartridge with wear indication
TW201113886A (en) * 2009-10-09 2011-04-16 Silicon Motion Inc Method for enhancing performance of accessing a flash memory, and associated memory device and controller thereof
US8307151B1 (en) 2009-11-30 2012-11-06 Micron Technology, Inc. Multi-partitioning feature on e-MMC
US8938574B2 (en) 2010-10-26 2015-01-20 Lsi Corporation Methods and systems using solid-state drives as storage controller cache memory
US8738843B2 (en) * 2010-12-20 2014-05-27 Lsi Corporation Data manipulation during memory backup
US8468317B2 (en) 2011-06-07 2013-06-18 Agiga Tech Inc. Apparatus and method for improved data restore in a memory system
US8543766B2 (en) * 2011-06-10 2013-09-24 Freescale Semiconductor, Inc. Writing data to system memory in a data processing system in which cache line states are tracked
JP2013061799A (ja) 2011-09-13 2013-04-04 Toshiba Corp 記憶装置、記憶装置の制御方法およびコントローラ
US9606929B2 (en) * 2011-11-08 2017-03-28 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Simulated NVRAM
US9754634B2 (en) 2011-11-23 2017-09-05 Smart Modular Technologies, Inc. Memory management system with power source and method of manufacture thereof
US9158700B2 (en) * 2012-01-20 2015-10-13 Seagate Technology Llc Storing cached data in over-provisioned memory in response to power loss
US20130205065A1 (en) * 2012-02-02 2013-08-08 Lsi Corporation Methods and structure for an improved solid-state drive for use in caching applications
US8788880B1 (en) * 2012-08-22 2014-07-22 Western Digital Technologies, Inc. Efficient retry mechanism for solid-state memory failures
US10102889B2 (en) 2012-09-10 2018-10-16 Texas Instruments Incorporated Processing device with nonvolatile logic array backup
US9141505B1 (en) * 2012-09-27 2015-09-22 Emc Corporation Adaptive failure survivability in a storage system using save time and data transfer after power loss
US9424125B2 (en) * 2013-01-16 2016-08-23 Google Inc. Consistent, disk-backed arrays
US9830257B1 (en) * 2013-06-12 2017-11-28 Western Digital Technologies, Inc. Fast saving of data during power interruption in data storage systems
US10031869B1 (en) * 2014-03-28 2018-07-24 Adesto Technologies Corporation Cached memory structure and operation
US20150317248A1 (en) * 2014-05-01 2015-11-05 International Business Machines Corporation Sizing a write cache buffer based on emergency data save parameters
WO2015171101A1 (en) * 2014-05-05 2015-11-12 Empire Technology Development Llc Electronic device
US10437500B2 (en) 2014-10-29 2019-10-08 Hewlett Packard Enterprise Development Lp Committing altered metadata to a non-volatile storage device
US9626119B2 (en) * 2014-11-14 2017-04-18 Intel Corporation Using counters and a table to protect data in a storage device
JP6455132B2 (ja) * 2014-12-22 2019-01-23 富士通株式会社 情報処理装置,処理方法及びプログラム
US20160188414A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Fault tolerant automatic dual in-line memory module refresh
US9870013B2 (en) 2015-02-13 2018-01-16 Rockwell Automation Asia Pacific Business Ctr. Pte. Ltd. Energy storage method and system to power functional safety diagnostic subsystem
US10037071B2 (en) 2015-02-25 2018-07-31 Texas Instruments Incorporated Compute through power loss approach for processing device having nonvolatile logic memory
WO2016171680A1 (en) * 2015-04-22 2016-10-27 Hewlett Packard Enterprise Development Lp Status signal combined onto power voltage
US20160378344A1 (en) * 2015-06-24 2016-12-29 Intel Corporation Processor and platform assisted nvdimm solution using standard dram and consolidated storage
US20170091042A1 (en) * 2015-09-25 2017-03-30 Quanta Computer Inc. System and method for power loss protection of storage device
US10452594B2 (en) 2015-10-20 2019-10-22 Texas Instruments Incorporated Nonvolatile logic memory for computing module reconfiguration
US10331203B2 (en) 2015-12-29 2019-06-25 Texas Instruments Incorporated Compute through power loss hardware approach for processing device having nonvolatile logic memory
US9760147B2 (en) 2016-01-22 2017-09-12 Microsoft Technology Licensing, Llc Power control for use of volatile memory as non-volatile memory
US10115442B2 (en) 2016-01-22 2018-10-30 Microsoft Technology Licensing, Llc Demand-based provisioning of volatile memory for use as non-volatile memory
US9746895B2 (en) * 2016-01-22 2017-08-29 Microsoft Technology Licensing, Llc Use of volatile memory as non-volatile memory
US10733089B2 (en) * 2016-07-20 2020-08-04 Micron Technology, Inc. Apparatuses and methods for write address tracking
US10091904B2 (en) * 2016-07-22 2018-10-02 Intel Corporation Storage sled for data center
US20180063179A1 (en) * 2016-08-26 2018-03-01 Qualcomm Incorporated System and Method Of Performing Online Memory Data Collection For Memory Forensics In A Computing Device
US11281277B2 (en) * 2017-11-21 2022-03-22 Intel Corporation Power management for partial cache line information storage between memories
US10509723B1 (en) * 2018-06-12 2019-12-17 International Business Machines Corporation Expedited cache destage for power interruption in a virtual storage appliance
US11048312B2 (en) * 2019-02-13 2021-06-29 Toshiba Memory Corporation Systems and methods for managing reduced power failure energy requirements on a solid state drive
US10658045B1 (en) * 2019-05-15 2020-05-19 Western Digital Technologies, Inc. Enhanced solid-state drive write performance with background erase

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5990295A (ja) * 1982-11-12 1984-05-24 Nec Corp 主記憶装置のバツクアツプ方式
JPS5999295A (ja) * 1982-11-29 1984-06-07 株式会社東芝 遮蔽プラグ装置
US4959774A (en) * 1984-07-06 1990-09-25 Ampex Corporation Shadow memory system for storing variable backup blocks in consecutive time periods
US5204963A (en) * 1990-12-07 1993-04-20 Digital Equipment Corporation Method and apparatus for a backup power controller for volatile random access memory
GB2256735B (en) * 1991-06-12 1995-06-21 Intel Corp Non-volatile disk cache
US5359569A (en) * 1991-10-29 1994-10-25 Hitachi Ltd. Semiconductor memory
US5448719A (en) * 1992-06-05 1995-09-05 Compaq Computer Corp. Method and apparatus for maintaining and retrieving live data in a posted write cache in case of power failure
JPH06195258A (ja) * 1992-07-08 1994-07-15 Nec Corp 半導体記憶装置
US5748985A (en) * 1993-06-15 1998-05-05 Hitachi, Ltd. Cache control method and cache controller
JP3136036B2 (ja) * 1993-11-16 2001-02-19 富士通株式会社 ディスク制御装置の制御方法
US5884093A (en) * 1994-09-02 1999-03-16 Rock Solid Systems, Inc. Hard disk cache for CD-ROM and other slow access time devices
KR970010634B1 (ko) * 1994-10-25 1997-06-28 삼성전자 주식회사 네트워크 하이버네이션 시스템
US5586291A (en) * 1994-12-23 1996-12-17 Emc Corporation Disk controller with volatile and non-volatile cache memories
JP3474665B2 (ja) * 1995-03-02 2003-12-08 富士通株式会社 計算機システムの電源制御装置及び方法
US5799200A (en) * 1995-09-28 1998-08-25 Emc Corporation Power failure responsive apparatus and method having a shadow dram, a flash ROM, an auxiliary battery, and a controller
US5724501A (en) * 1996-03-29 1998-03-03 Emc Corporation Quick recovery of write cache in a fault tolerant I/O system
US6223266B1 (en) * 1997-08-20 2001-04-24 Cypress Semiconductor Corp. System and method for interfacing an input/output system memory to a host computer system memory
EP0953984B1 (de) * 1998-04-30 2003-07-02 STMicroelectronics S.r.l. Verfahren zur Sicherung von Daten im Falle unerwünschter Unterbrechnungen während ein Programmzyklus eines nichtflüchtigen Speichers, und ein nichtflüchtiger Speicher
US6141731A (en) * 1998-08-19 2000-10-31 International Business Machines Corporation Method and system for managing data in cache using multiple data structures
US6243831B1 (en) * 1998-10-31 2001-06-05 Compaq Computer Corporation Computer system with power loss protection mechanism
US6336174B1 (en) * 1999-08-09 2002-01-01 Maxtor Corporation Hardware assisted memory backup system and method
US6496939B2 (en) * 1999-09-21 2002-12-17 Bit Microsystems, Inc. Method and system for controlling data in a computer system in the event of a power failure
US6658576B1 (en) * 1999-09-29 2003-12-02 Smartpower Corporation Energy-conserving communication apparatus selectively switching between a main processor with main operating instructions and keep-alive processor with keep-alive operating instruction
US6691137B1 (en) * 1999-10-25 2004-02-10 International Business Machines Corporation Cache management system utilizing cascading tokens
US6629248B1 (en) * 2000-03-30 2003-09-30 Intel Corporation Apparatus and method for maintaining a security association for manageability across power failures
US6742140B2 (en) * 2000-12-01 2004-05-25 Jason R. Caulkins Method for using volatile memory for long-term storage
JP4015835B2 (ja) * 2001-10-17 2007-11-28 松下電器産業株式会社 半導体記憶装置
US6928518B2 (en) * 2001-11-01 2005-08-09 Sun Microsystems, Inc. Disk drive employing adaptive flushing of a write cache
US7370217B2 (en) * 2001-11-16 2008-05-06 Intel Corporation Regulating file system device access
JP3967121B2 (ja) * 2001-12-11 2007-08-29 株式会社ルネサステクノロジ ファイルシステム、ファイルシステム制御方法およびファイルシステムを制御するためのプログラム
US6901499B2 (en) * 2002-02-27 2005-05-31 Microsoft Corp. System and method for tracking data stored in a flash memory device
US7533214B2 (en) * 2002-02-27 2009-05-12 Microsoft Corporation Open architecture flash driver
EP1359507A1 (de) * 2002-04-29 2003-11-05 Hewlett-Packard Company Datenverarbeitungsvorrichtung und Verfahren
US7100080B2 (en) * 2002-05-08 2006-08-29 Xiotech Corporation Write cache recovery after loss of power
US6938184B2 (en) * 2002-10-17 2005-08-30 Spinnaker Networks, Inc. Method and system for providing persistent storage of user data
US7181611B2 (en) * 2002-10-28 2007-02-20 Sandisk Corporation Power management block for use in a non-volatile memory system
US7003620B2 (en) * 2002-11-26 2006-02-21 M-Systems Flash Disk Pioneers Ltd. Appliance, including a flash memory, that is robust under power failure
JP4450609B2 (ja) * 2003-11-28 2010-04-14 株式会社日立製作所 記憶装置
US7251755B2 (en) * 2004-02-13 2007-07-31 Intel Corporation Apparatus and method for maintaining data integrity following parity error detection
US7260695B2 (en) * 2004-03-05 2007-08-21 International Business Machines Corporation Scanning modified data during power loss

Also Published As

Publication number Publication date
CA2522122A1 (en) 2006-04-04
CA2522122C (en) 2009-11-24
DE602004003583T2 (de) 2007-11-22
US20060072369A1 (en) 2006-04-06
EP1643506B1 (de) 2006-12-06
DE602004003583D1 (de) 2007-01-18
EP1643506A1 (de) 2006-04-05
US7634688B2 (en) 2009-12-15

Similar Documents

Publication Publication Date Title
ATE347731T1 (de) System und verfahren zum datensichern bei stromausfall
EP2031492A4 (de) Datenspeicheranordnung und datenspeicherverfahren
WO2015053919A4 (en) Bit line and compare voltage modulation for sensing nonvolatile storage elements
DE602008001171D1 (de) Speichersystem und Steuerungsverfahren dafür
ATE476740T1 (de) Löschen nichtflüchtiger speicher unter verwendung individueller überprüfung und zusätzlichen löschens von untergruppen von speicherzellen
TW200736909A (en) Memory controller for flash memory
TW200622611A (en) Memory management device and memory device
TW200617663A (en) Storage device and host apparatus
ATE382163T1 (de) Systeme und verfahren zur dateisicherung
DE602006016276D1 (de) Speicherblocklöschung in einer flash-speicher-vorrichtung
ATE486349T1 (de) Gleichzeitige programmierung von nichtflüchtigem speicher
DK1899975T3 (da) Integreret kredsløb med adskilt forsyningsspænding til lager, som er forskelligt fra forsyningsspændingen af det logiske kredsløb
TW200639869A (en) Memory having a portion that can be switched between use as data and use as error correction code (ECC)
ATE489675T1 (de) Verfahren zur fehlerkorrektur in mbc-flash- speicher
TWI371754B (en) Apparatus and method of reducing power consumption during read operations in non-volatile storage
WO2008117520A1 (ja) メモリコントローラ、不揮発性メモリシステムおよびホスト装置
TW200608200A (en) Method and device to improve USB flash write performance
TW200943060A (en) Data writing method for non-volatile memory, storage system and controller thereof
JP4653747B2 (ja) コントローラ、データ記憶システム、データ書き換え方法及びコンピュータプログラムプロダクト
DE602005004872D1 (de) Verfahren und computerlesbarer Datenträger zum Überprüfen und Speichern eines elektronischen Dokuments
DE602006014987D1 (de) Verfahren zur gesteuerten programmierung von nichtflüchtigem speicher, der bitleitungskopplung aufweist
ATE490505T1 (de) Datenschreibverfahren
TW200614263A (en) Semiconductor memory device for low power system
JP5197800B2 (ja) 情報処理装置及びそのデータ記録方法
JP2009276883A (ja) 半導体補助記憶装置

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties