JP2015012178A5 - - Google Patents

Download PDF

Info

Publication number
JP2015012178A5
JP2015012178A5 JP2013137118A JP2013137118A JP2015012178A5 JP 2015012178 A5 JP2015012178 A5 JP 2015012178A5 JP 2013137118 A JP2013137118 A JP 2013137118A JP 2013137118 A JP2013137118 A JP 2013137118A JP 2015012178 A5 JP2015012178 A5 JP 2015012178A5
Authority
JP
Japan
Prior art keywords
gas
film
groove
sccm
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013137118A
Other languages
English (en)
Other versions
JP6185305B2 (ja
JP2015012178A (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from JP2013137118A external-priority patent/JP6185305B2/ja
Priority to JP2013137118A priority Critical patent/JP6185305B2/ja
Priority to TW103122141A priority patent/TWI618145B/zh
Priority to US14/316,082 priority patent/US9324569B2/en
Priority to EP14174609.9A priority patent/EP2819151B1/en
Priority to CN201410301711.2A priority patent/CN104253036B/zh
Priority to KR1020140079591A priority patent/KR102245901B1/ko
Publication of JP2015012178A publication Critical patent/JP2015012178A/ja
Publication of JP2015012178A5 publication Critical patent/JP2015012178A5/ja
Publication of JP6185305B2 publication Critical patent/JP6185305B2/ja
Application granted granted Critical
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

ここで用いる半導体ウエハは、図2に示すように、基板上に下層膜201、有機膜202、マスク膜(無機膜)203、BARC(有機膜)およびフォトレジスト(PR)膜204を順次形成した後、フォトレジスト膜204にフォトリソグラフィにより所定パターンを形成した構造を有している。
マスク膜203としては、例えばSiON膜(シリコン酸窒化膜)を好適に用いることができ、その厚さは10〜100nm程度で、例えばそれぞれ12nmおよびと20nmである。フォトレジスト膜204は、典型的にはArF(フッ化アルゴン)レジストであり、その厚さは20〜200nm程度である。
次に、本実施形態に係るプラズマエッチングの原理について図3を用いて説明する。図3は、処理ガスと溝の形状との関係の一例を示す模式図である。図3(a)は、処理ガスにCOSもCl2も含まれていないCF系の処理ガスのプラズマによりマスク膜203をマスクとして有機膜202をエッチングした場合の溝の形状の一例を示す模式図である。図3(a)に示すように、有機膜202に形成された溝の形状は、溝の開口や底の幅に比べて溝の中央が膨らむ形状となるボーイングが生成される。そのため、溝を設計値通りの幅にするためには、ボーイングによる中央部分の膨らみを考慮して、マスク膜をエッチンするBT工程においてマスク膜203に開けられる溝の幅を狭くすることにより、有機膜202の溝の開口を狭くする必要がある。
発明者は、鋭意研究の結果、処理ガスにCOSガスおよびCl2ガスが添加されると、図3(d)に示すように、プラズマ中に生成されたS成分のイオンおよびSiClx成分のイオンにより、溝の内壁にSとSiClxの混合堆積物が付着しながらエッチングされるので、ボーイングの発生が大幅に抑えられることが分かった。これにより、溝の内壁をテーパ形状とすることができ、後の工程において溝の内部に溜まった堆積物の除去や、溝の内壁に均一な膜を形成すること等が容易になる。このように、本願発明のエッチング方法により有機膜をエッチンして、好ましいCDやテーパ形状の溝を形成した後、その有機膜202をマスクとして、例えばSiON膜、TiN(メタルハードマスク)等の下層膜201をプラズマによりエッチングすることにより、下層膜201に形成される溝のCD及び形状を高い精度で形成することが可能となる。さらに、その下層膜の下層に形成する膜を、下層膜をマスクとしてエッチングすることで、良好な形状の溝を形成することが可能となる。また、これにより、半導体装置の性能及び歩留まりを向上させることが可能となる。
本実施形態におけるプラズマエッチング方法では、図4に示すように、まず、被処理体となる半導体ウエハWがチャンバ10内に搬入されてサセプタ16上に載置され。そして、制御部100は、排気装置84の真空ポンプにより排気口80を介してチャンバ10内を所定の圧力まで排気し、チャンバ10内に処理ガスを供給してプラズマ処理を実行する(ステップS100)。
<実施例1>
次に、図2に示した膜構成の半導体ウエハを用いて、COSガスおよびCl2ガスの流量を変えてエッチングし、流量比と、CDおよびテーパ角度との関係を調べる実験を行った。以下の実験結果では、マスク膜203/有機膜202/下層膜201=28/170/40nmの半導体ウエハを用いている。また、プロセス条件は、以下の3通りである。
供給ガス:O2/He/Cl2/COS=50/160/20/8sccm ・・・(1)
=50/160/13/6sccm ・・・(2)
=50/160/16/8sccm ・・・(3)
各ガスの好ましい流量は、COSガスでは3〜10sccm、Cl2ガスでは10〜25sccm、Heガスでは100〜200sccm、O2ガスでは45〜100sccmである。その他のステップ及び条件は、前述の有機膜エッチングの条件と同様である。
<実施例2>
次に、図2に示した膜構成の半導体ウエハを用いて、COSガスおよびCl2ガスに対してO2ガスの流量を変えてエッチングし、流量比と、CDおよびテーパ角度との関係を調べる実験を行った。以下の実験におけるプロセス条件は、以下の3通りである。
供給ガス:O2/He/Cl2/COS=40/160/20/8sccm ・・・(5)
=50/160/20/8sccm ・・・(6)
=70/160/20/8sccm ・・・(7)
各ガスの好ましい流量は、COSガスでは3〜10sccm、Cl2ガスでは10〜25sccm、Heガスでは100〜200sccm、O2ガスでは45〜100sccmである。その他のステップ及び条件は、実施例1における有機膜エッチングの条件と同様である。
また、上記(7)で示した流量比の処理ガスを用いてエッチングした場合には、図9(c)に示すように、溝の開口の幅が、溝の底の幅よりも広くなっているものの、溝の側壁のテーパの角度が急峻になっている。図9(c)の場合、溝の開口の幅は279nm、溝の底の幅は265nmであった。この場合、CD比は、1.05である。また、上記(7)に示した流量比の処理ガスでは、COSガスの流量とCl2ガスの流量の合計に対するO2ガスの流量の比は、2.5である。
JP2013137118A 2013-06-28 2013-06-28 プラズマエッチング方法およびプラズマエッチング装置 Active JP6185305B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2013137118A JP6185305B2 (ja) 2013-06-28 2013-06-28 プラズマエッチング方法およびプラズマエッチング装置
TW103122141A TWI618145B (zh) 2013-06-28 2014-06-26 電漿蝕刻方法及電漿蝕刻裝置
US14/316,082 US9324569B2 (en) 2013-06-28 2014-06-26 Plasma etching method and plasma etching apparatus
EP14174609.9A EP2819151B1 (en) 2013-06-28 2014-06-26 Plasma etching method and plasma etching apparatus
CN201410301711.2A CN104253036B (zh) 2013-06-28 2014-06-27 等离子体蚀刻方法及等离子体蚀刻装置
KR1020140079591A KR102245901B1 (ko) 2013-06-28 2014-06-27 플라즈마 에칭 방법 및 플라즈마 에칭 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013137118A JP6185305B2 (ja) 2013-06-28 2013-06-28 プラズマエッチング方法およびプラズマエッチング装置

Publications (3)

Publication Number Publication Date
JP2015012178A JP2015012178A (ja) 2015-01-19
JP2015012178A5 true JP2015012178A5 (ja) 2015-02-26
JP6185305B2 JP6185305B2 (ja) 2017-08-23

Family

ID=50981431

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013137118A Active JP6185305B2 (ja) 2013-06-28 2013-06-28 プラズマエッチング方法およびプラズマエッチング装置

Country Status (6)

Country Link
US (1) US9324569B2 (ja)
EP (1) EP2819151B1 (ja)
JP (1) JP6185305B2 (ja)
KR (1) KR102245901B1 (ja)
CN (1) CN104253036B (ja)
TW (1) TWI618145B (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6817692B2 (ja) * 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
JP6748354B2 (ja) 2015-09-18 2020-09-02 セントラル硝子株式会社 ドライエッチング方法及びドライエッチング剤
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
CN108550578B (zh) * 2018-03-26 2020-10-02 长江存储科技有限责任公司 三维存储器制造方法
JP7022651B2 (ja) 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
KR102314450B1 (ko) * 2018-10-26 2021-10-19 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
JP7195113B2 (ja) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置
CN112368805A (zh) 2018-12-18 2021-02-12 玛特森技术公司 使用含硫工艺气体的含碳硬掩模去除工艺
JP2021048390A (ja) 2019-09-13 2021-03-25 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び基板処理システム
US11476123B2 (en) 2019-09-13 2022-10-18 Tokyo Electron Limited Etching method, plasma processing apparatus, and substrate processing system
JP7336365B2 (ja) 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11443954B2 (en) 2019-12-10 2022-09-13 Tokyo Electron Limited Method and apparatus for controlling a shape of a pattern over a substrate
KR20220146239A (ko) 2021-04-23 2022-11-01 삼성전자주식회사 하드 마스크 구조체를 포함하는 반도체 소자
JP2023082809A (ja) * 2021-12-03 2023-06-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3116533B2 (ja) * 1992-04-08 2000-12-11 ソニー株式会社 ドライエッチング方法
US6187688B1 (en) * 1997-01-21 2001-02-13 Matsushita Electric Industrial Co., Ltd. Pattern formation method
JP2000077386A (ja) * 1998-08-27 2000-03-14 Seiko Epson Corp パターン形成方法
JP2001168084A (ja) * 1999-12-07 2001-06-22 Nec Corp 半導体装置の製造方法
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
KR20100031962A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 카본계막 식각 방법 및 이를 이용한 콘택홀 형성방법
JP5528244B2 (ja) * 2010-07-26 2014-06-25 東京エレクトロン株式会社 プラズマ処理方法および記憶媒体
JP5642001B2 (ja) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 プラズマエッチング方法
FR3000603B1 (fr) * 2012-12-28 2016-11-25 Commissariat Energie Atomique Procede de gravure anisotrope

Similar Documents

Publication Publication Date Title
JP2015012178A5 (ja)
TWI726989B (zh) 蝕刻基板的材料之方法及設備
JP5081917B2 (ja) フッ素除去プロセス
US8614149B2 (en) Critical dimension reduction and roughness control
KR100976882B1 (ko) 반도체 장치의 제조 방법 및 기억 매체
JP6185305B2 (ja) プラズマエッチング方法およびプラズマエッチング装置
JP2006066408A (ja) ドライエッチング方法
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
US8435419B2 (en) Methods of processing substrates having metal materials
TWI545648B (zh) 擬硬遮罩用之擺動控制
TW201501202A (zh) 電漿蝕刻方法及電漿蝕刻裝置
TWI719198B (zh) 用於化學蝕刻矽的方法
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
TWI766866B (zh) 蝕刻方法
JP2003518738A (ja) シリコンの金属マスクエッチング方法
TWI400752B (zh) 在基板中形成深溝槽之方法
TW201835016A (zh) 電漿蝕刻方法
JP6748354B2 (ja) ドライエッチング方法及びドライエッチング剤
TW201637096A (zh) 電漿蝕刻方法
JP2012028431A (ja) 半導体装置の製造方法
JP7339032B2 (ja) 基板処理方法および基板処理装置
JP6040314B2 (ja) プラズマ処理方法
US20140370709A1 (en) Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer
JP2003243361A (ja) プラズマエッチング方法
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料