JP2014057065A - Tsv構造を備える集積回路素子及びその製造方法 - Google Patents

Tsv構造を備える集積回路素子及びその製造方法 Download PDF

Info

Publication number
JP2014057065A
JP2014057065A JP2013187057A JP2013187057A JP2014057065A JP 2014057065 A JP2014057065 A JP 2014057065A JP 2013187057 A JP2013187057 A JP 2013187057A JP 2013187057 A JP2013187057 A JP 2013187057A JP 2014057065 A JP2014057065 A JP 2014057065A
Authority
JP
Japan
Prior art keywords
conductive
barrier film
integrated circuit
film
conductive barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013187057A
Other languages
English (en)
Other versions
JP6310217B2 (ja
Inventor
Jae-Hwa Park
在 花 朴
Kwang-Jin Moon
光 辰 文
Beong-Ryul Park
炳 律 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2014057065A publication Critical patent/JP2014057065A/ja
Application granted granted Critical
Publication of JP6310217B2 publication Critical patent/JP6310217B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape

Abstract

【課題】金属イオンが半導体基板の内部に拡散する現象を防止できるTSV構造を備える集積回路素子及びその製造方法を提供する。
【解決手段】本発明の集積回路素子は、半導体構造物と、半導体構造物を貫通するTSV(through−silicon−via)構造と、を備え、TSV構造は、導電性プラグと、導電性プラグと離隔して配置され、導電性プラグを取り囲む第1導電性バリア膜と、導電性プラグと第1導電性バリア膜との間に介在する絶縁薄膜と、を備える。
【選択図】図1A

Description

本発明は、集積回路素子及びその製造方法に係り、より詳細には、TSV(through−silicon−via)構造を備える集積回路素子及びその製造方法に関する。
一つの半導体パッケージ内に複数の半導体チップを搭載する3D(3−dimensional)パッケージの開発が活発になることで、基板又はダイ(die)を貫通して垂直に電気的接続を形成するTSV技術が非常に重要に認識されている。3Dパッケージの性能及び信頼度を高めるためには、Cuコンタクトプラグを備えるTSV構造でCu拡散現象による問題点を解決し、安定した動作特性及び高い信頼性を提供できる素子の形成技術が必要である。
本発明は、上記従来の問題点に鑑みてなされたものであって、本発明の目的は、半導体基板を貫通するTSV構造において、TSV構造を構成する金属プラグと半導体基板との電位差によってTSV構造から金属イオンが半導体基板の内部に拡散する現象を防止できる構造を有する集積回路素子を提供することにある。
また、本発明の目的は、TSV構造を構成する金属プラグと半導体基板との電位差によってTSV構造から金属イオンが半導体基板の内部に拡散する現象を防止できる構造を有する集積回路素子の製造方法を提供することにある。
上記目的を達成するためになされた本発明の一態様による集積回路素子は、半導体構造物と、前記半導体構造物を貫通するTSV(through−silicon−via)構造と、を備え、前記TSV構造は、導電性プラグと、前記導電性プラグと離隔して配置され、前記導電性プラグを取り囲む第1導電性バリア膜と、前記導電性プラグと前記第1導電性バリア膜との間に介在する絶縁薄膜と、を備える。
上記目的を達成するためになされた本発明の他の態様による集積回路素子は、接続端子を有するパッケージ基板と、前記パッケージ基板上に積層され、半導体基板及び前記半導体基板を貫通するTSV(through−silicon−via)構造を備える少なくとも一つの半導体チップと、を備え、前記TSV構造は、前記接続端子に連結される導電性プラグと、前記導電性プラグと離隔して前記導電性プラグを取り囲み、前記接続端子に連結される第1導電性バリア膜と、前記導電性プラグと前記第1導電性バリア膜との間に介在する絶縁薄膜と、を備える。
上記目的を達成するためになされた本発明の更に他の態様による集積回路素子は、第1部分及び第2部分を備える半導体構造物と、前記半導体構造物の前記第1部分と前記第2部分との間に位置するビア構造と、を備え、前記ビア構造は、導電性プラグと、前記導電性プラグと離隔して配置された導電性バリア膜と、前記導電性プラグと前記導電性バリア膜との間に介在する絶縁層と、を備える。
上記目的を達成するためになされた本発明の一態様による集積回路素子の製造方法は、半導体構造物にビアホールを形成する段階と、前記ビアホールの内壁を覆うビア絶縁膜を形成する段階と、前記ビアホール内で前記ビア絶縁膜上に第1導電性バリア膜を形成する段階と、前記ビアホール内で前記第1導電性バリア膜上に絶縁薄膜を形成する段階と、前記ビアホール内で前記絶縁薄膜上に、前記第1導電性バリア膜と離隔する導電性プラグを形成する段階と、を有する。
上記目的を達成するためになされた本発明の他の態様による集積回路素子の製造方法は、半導体基板内にビアホールを形成する段階と、前記ビアホールの内壁を覆うビア絶縁膜を形成する段階と、前記ビアホール内で前記ビア絶縁膜上に、導電性プラグ、前記導電性プラグと離隔して前記導電性プラグを取り囲む第1導電性バリア膜、及び前記導電性プラグと前記第1導電性バリア膜との間に介在する絶縁薄膜を備えるTSV(through−silicon−via)構造を形成する段階と、前記導電性プラグの一端から前記第1導電性バリア膜の一端まで延びる第1導電層を前記TSV構造の一側に形成する段階と、を有する。
本発明のTSV構造を備える集積回路素子は、絶縁薄膜を介して離隔する金属プラグ及び導電性バリア膜を備える。TSV構造において、金属プラグ及び導電性バリア膜に連結される配線を通じてTSV構造に電圧が印加される時、金属プラグ及び導電性バリア膜が互いに等電位になる。これによって、TSV構造の金属プラグと半導体基板との間に電位差が発生する場合にも、金属プラグの周りには金属プラグと等電位を有する導電性バリアが存在するため、TSV構造から半導体基板内部への金属イオンの拡散を電気的に遮蔽することができる。
本発明の一実施形態による集積回路素子を示す断面図である。 本発明の他の実施形態による集積回路素子を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を説明するフローチャートである。 本発明の他の実施形態による集積回路素子の製造方法を説明するフローチャートである。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を工程順に示す断面図である。 本発明の他の実施形態による集積回路素子の要部構成を示す断面図である。 本発明の一実施形態による集積回路素子の要部構成を示す断面図である。 本発明の他の実施形態による集積回路素子の要部構成を示す断面図である。 本発明の一実施形態による集積回路素子の要部構成を示す断面図である。 本発明の他の実施形態による集積回路素子の要部構成を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の製造方法を示す断面図である。 本発明の一実施形態による集積回路素子の要部構成を示す断面図である。 本発明の一実施形態による集積回路素子の要部構成を示す断面図である。 本発明の一実施形態による集積回路素子の要部構成を示す平面図である。 本発明の一実施形態による集積回路素子の要部構成を示すブロック図である。
以下、本発明を実施するための形態の具体例を、図面を参照しながら詳細に説明する。図面上の同じ構成要素については同じ参照符号を付け、これらについての重複する説明は省略する。
本発明の実施形態は、当業者に本発明をより完全に説明するために提供するものであり、以下の実施形態は、多様な他の形態に変形され、本発明の範囲が以下の実施形態に限定されるものではない。むしろ、これらの実施形態は、本開示をより充実且つ完全にし、当業者に本発明の思想を完全に伝達するために提供するものである。
本明細書で、第1、第2などの用語を、多様な部材、領域、層、部位、及び/又は構成要素を説明するために使用するが、これらの部材、部品、領域、層、部位、及び/又は構成要素を、これらの用語によって限定してはならない。これらの用語は、特定順序や上下又は優劣を意味せず、一つの部材、領域、部位、又は構成要素を他の部材、領域、部位又は構成要素と区別するために使用する。従って、以下で述べる第1部材、領域、部位又は構成要素は、本発明の思想を逸脱せずに第2部材、領域、部位又は構成要素と称することができる。例えば、本発明の権利範囲を逸脱せずに第1構成要素は第2構成要素と称し、同様に第2構成要素も第1構成要素と称する。
特に定義しない限り、ここで使用する全ての用語は、技術用語と科学用語を初めとして当業者が共通的に理解しているものと同じ意味を有する。また、通常的に使用する予め定義された用語は、このような技術の脈絡でこれらが意味するものと一貫した意味を有するとして解釈しなければならず、ここで明示的に定義しない限り、過度に形式的な意味と解釈してはならない。
ある実施形態が異なって具現可能な場合に、特定の工程順序は、説明する順序と異なって行われ得る。例えば、連続して説明する2つの工程が実質的に同時に行われることもあり、説明する順序と逆の順序で行われることもある。
図面において、例えば、製造技術及び/又は公差によって、図示した形状の変形が予想される。従って、本発明の実施形態は、本明細書に示した領域の特定形状に制限されると解釈してはならず、例えば、製造過程で生じる形状の変化を含むべきである。
図1Aは、本発明の一実施形態による集積回路素子10Aを示す断面図である。
図1Aを参照すると、集積回路素子10Aは、半導体構造物20と、半導体構造物20に形成されたビアホール22を通じて半導体構造物20を貫通するTSV構造30Aと、を備える。
TSV構造30Aは、導電性プラグ32と、導電性プラグ32と離隔する位置で導電性プラグ32を取り囲む第1導電性バリア膜34と、を備える。導電性プラグ32と第1導電性バリア膜34との間には絶縁薄膜36が介在する。
導電性プラグ32は、半導体構造物20を貫通する金属プラグ32Aと、金属プラグ32Aの外部側壁を取り囲みつつ半導体構造物20を貫通する第2導電性バリア膜32Bと、を備える。第2導電性バリア膜32Bは、金属プラグ32Aと絶縁薄膜36との間に介在する。一実施形態で、第2導電性バリア膜32Bは省略され、金属プラグ32Aと絶縁薄膜36とが直接接触する。
金属プラグ32Aは第1金属を含み、第1導電性バリア膜34及び第2導電性バリア膜32Bは、それぞれ第1金属とは異なる金属を含む。
一実施形態で、金属プラグ32Aは、Cu又はWを含む。例えば、金属プラグ32Aは、Cu、CuSn、CuMg、CuNi、CuZn、CuPd、CuAu、CuRe、CuW、W、又はW合金からなるが、これらに制限されるものではない。
金属プラグ32Aの側壁を取り囲む第2導電性バリア膜32Bは、W、WN、WC、Ti、TiN、Ta、TaN、Ru、Co、Mn、WN、Ni、及びNiBから選択される少なくとも一つの物質を含む単一膜又は多重膜で形成される。一実施形態で、第2導電性バリア膜32Bは、PVD(physical vapor deposition)工程又はCVD(chemical vapor deposition)工程によって形成される。他の実施形態で、第2導電性バリア膜32Bは、ALD(atomic layer deposition)工程によって形成されてもよい。
一実施形態で、第2導電性バリア膜32Bは、TSV構造30Aの長手方向に沿って均一な厚さを有する。ここで、TSV構造30Aの長手方向は、半導体構造物20の第1表面20Tから、その反対側である第2表面20Bまでの最短長手方向を意味する。本明細書で、ビアホール22の長手方向とTSV構造30Aの長手方向とは同じ意味で使用する。
第1導電性バリア膜34は、比較的低い配線抵抗を有する導電層で形成される。例えば、第1導電性バリア膜34は、W、WN、Ti、TiN、Ta、TaN、及びRuから選択される少なくとも一つを含む単一膜又は多重膜で形成される。例えば、第1導電性バリア膜34は、TaN/W、TiN/W、又はWN/Wからなる多重膜で形成される。第1導電性バリア膜34は、約50〜1000Åの厚さを有する。一実施形態で、第1導電性バリア膜34は、TSV構造30Aの長手方向に沿って均一な厚さを有する。第1導電性バリア膜34は、ALD工程又はCVD工程によって形成される。
絶縁薄膜36は、導電性プラグ32を取り囲むシリンダー型構造を有する。絶縁薄膜36は、酸化膜、窒化膜、絶縁性金属酸化膜、高誘電膜、ポリマー、又はこれらの組み合わせからなる。絶縁薄膜36は、TSV構造30Aの長手方向に沿って均一な厚さを有する高密度薄膜で形成される。例えば、絶縁薄膜36は、ALD工程によって得られる薄膜で形成される。
絶縁薄膜36は、シリコン酸化膜より高い誘電定数を有する高誘電膜で形成される。例えば、絶縁薄膜36は、約10〜25の誘電定数を有する。一実施形態で、絶縁薄膜36は、ハフニウム酸化物(HfO)、ハフニウムシリコン酸化物(HfSiO)、ハフニウム酸窒化物(HfON)、ハフニウムシリコン酸窒化物(HfSiON)、ランタン酸化物(LaO)、ランタンアルミニウム酸化物(LaAlO)、ジルコニウム酸化物(ZrO)、ジルコニウムシリコン酸化物(ZrSiO)、ジルコニウム酸窒化物(ZrON)、ジルコニウムシリコン酸窒化物(ZrSiON)、タンタル酸化物(TaO)、チタン酸化物(TiO)、バリウムストロンチウムチタン酸化物(BaSrTiO)、バリウムチタン酸化物(BaTiO)、ストロンチウムチタン酸化物(SrTiO)、イットリウム酸化物(YO)、アルミニウム酸化物(AlO)、及び鉛スカンジウムタンタル酸化物(PbScTaO)から選択される少なくとも一つの物質からなる。
集積回路素子10Aは、半導体構造物20と第1導電性バリア膜34との間に介在するビア絶縁膜40を更に備える。ビア絶縁膜40は、半導体構造物20とTSV構造30Aとを離隔させる。
ビア絶縁膜40は、酸化膜、窒化膜、炭化膜、ポリマー、又はこれらの組み合わせからなる。一実施形態で、ビア絶縁膜40を形成するためにCVD工程を用いる。ビア絶縁膜40は、約500〜2500Åの厚さを有するように形成される。
絶縁薄膜36は、第1導電性バリア膜34を介してビア絶縁膜40と離隔する。TSV構造30A内の抵抗を最小化するために、絶縁薄膜36の厚さはできるだけ薄く形成する。一実施形態で、絶縁薄膜36の厚さはビア絶縁膜40の厚さより更に薄い。一実施形態で、絶縁薄膜36は、約50〜1000Åの厚さを有する。
半導体構造物20の第1表面20T上には、導電性プラグ32の一端32T及び第1導電性バリア膜34の一端34Tにそれぞれ当接する第1導電層52が形成される。半導体構造物20の第2表面20B上には、導電性プラグ32の他端32L及び第1導電性バリア膜34の他端34Lにそれぞれ当接する第2導電層54が形成される。TSV構造30Aに電圧が印加される時、導電性プラグ32及び第1導電性バリア膜34が互いに等電位になるように、導電性プラグ32及び第1導電性バリア膜34は、それぞれ第1導電層52及び第2導電層54を介して互いに電気的に連結される。
第1導電層52及び第2導電層54は、それぞれ金属からなる。導電性プラグ32及び第1導電性バリア膜34がそれぞれ第1導電層52及び第2導電層54に連結されるため、第1導電層52及び第2導電層54のうちのいずれか一つの導電層から導電性プラグ32に供給される電圧は、導電性プラグ32及び第1導電性バリア膜34に同時に供給され、導電性プラグ32及び第1導電性バリア膜34は等電位状態になる。例えば、導電性プラグ32がCuイオンのように電位差によって容易に拡散する金属イオンを含む場合、絶縁薄膜36を介して導電性プラグ32と等電位を有する第1導電性バリア膜34が導電性プラグ32を取り囲むため、導電性プラグ32から金属イオンが電位差によって半導体構造物20の内部に拡散することを電気的に遮蔽する。従って、金属イオンが電位差によって半導体構造物20の内部に拡散することで発生する問題、例えばトランジスタなどの単位素子の動作特性の劣化、ビア絶縁膜40の内部まで拡散した金属イオンがビア絶縁膜40内でトラップサイトとして作用することで引き起こされるTSV構造30Aの信頼性低下などの問題点を防止できる。
一実施形態で、半導体構造物20は、半導体基板、例えばシリコン基板で形成される。そして、TSV構造30Aは、半導体基板によって取り囲まれる側壁を有する。
他の実施形態で、半導体構造物20は、半導体基板と、半導体基板を覆う層間絶縁膜とを備える。TSV構造30Aを構成する導電性プラグ32、絶縁薄膜36、及び第1導電性バリア膜34は、それぞれ半導体基板及び層間絶縁膜を貫通する。TSV構造30Aは、半導体基板によって取り囲まれる側壁部分と、層間絶縁膜によって取り囲まれる側壁部分とを有する。
更に他の実施形態で、半導体構造物20は、半導体基板と、半導体基板を覆う層間絶縁膜と、層間絶縁膜を覆う金属層間絶縁膜と、を備える。TSV構造30Aを構成する導電性プラグ32、絶縁薄膜36、第1導電性バリア膜34は、それぞれ半導体基板、層間絶縁膜、金属層間絶縁膜を貫通する。そして、TSV構造30Aの導電性プラグ32、絶縁薄膜36、及び第1導電性バリア膜34は、半導体基板によって取り囲まれる側壁部分と、層間絶縁膜によって取り囲まれる側壁部分と、金属層間絶縁膜によって取り囲まれる側壁部分とを有する。
図1Bは、本発明の他の実施形態による集積回路素子10Bを示す断面図である。図1Bで、図1Aと同じ参照符号は同一部材を示し、従って、ここではこれらについての詳細な説明は省略する。
図1Bを参照すると、集積回路素子10Bは、半導体構造物20と、半導体構造物20に形成されたビアホール22を通じて半導体構造物20を貫通するTSV構造30Bと、を備える。
TSV構造30Bは、導電性プラグ62、導電性プラグ62と離隔する位置で導電性プラグ62を取り囲む第1導電性バリア膜34、及び導電性プラグ62と第1導電性バリア膜34との間に介在する絶縁薄膜36と、を備える。
導電性プラグ62は、半導体構造物20を貫通する金属プラグ62Aと、金属プラグ62Aの外部側壁を取り囲みつつ半導体構造物20を貫通する第2導電性バリア膜62Bと、を備える。
第1導電性バリア膜34は、半導体構造物20の第1表面20Tから第2表面20Bに至るまで、TSV構造30Bの長手方向に沿って均一な厚さを有する。例えば、第1導電性バリア膜34は、約50〜1000Åの範囲内から選択される厚さを有する。このように、ビアホール22の長手方向に沿って均一な厚さを有する第1導電性バリア膜34を形成するために、ALD工程を用いる。
第2導電性バリア膜62Bは、半導体構造物20の第1表面20T側から第2表面20B側に近くなるほど徐々に薄くなる厚さを有する。例えば、第2導電性バリア膜62Bは、ビアホール22内で半導体構造物20の第1表面20T側入口付近では約100〜1000Åの第1厚さD1を有し、ビアホール22内で半導体構造物20の第2表面20B側入口付近では約0〜50Åの第2厚さD2を有する。このようにビアホール22の長手方向に沿って可変的な厚さを有する第2導電性バリア膜62Bを形成するために、PVD工程を用いる。
図2は、本発明の一実施形態による集積回路素子の製造方法を説明するフローチャートである。以下の説明で、図1A及び図1Bを参照して説明した構成要素については重複説明を省略する。
図1A及び図1Bと図2を参照すると、工程72で、半導体構造物20にビアホール22を形成する。
工程74で、ビアホール22の内壁を覆うビア絶縁膜40を形成する。ビア絶縁膜40を形成するために、低温CVD工程又はPECVD(plasma enhanced CVD)工程を行う。
工程76で、ビアホール22内でビア絶縁膜40上に第1導電性バリア膜34を形成する。第1導電性バリア膜34を形成するために、比較的低温で均一な厚さを有する低抵抗の高密度薄膜を形成できるALD工程又はCVD工程を用いる。第1導電性バリア膜34は、半導体構造物20の第1表面20Tから第2表面20Bに至るまでビアホール22の長手方向に沿って均一な厚さを有するように形成される。
工程78で、ビアホール22内で第1導電性バリア膜34上に絶縁薄膜36を形成する。絶縁薄膜36は、ビア絶縁膜40より薄い厚さを有するように形成される。絶縁薄膜36を形成するために、ALD工程又はCVD工程を用いる。
工程80で、ビアホール22内で絶縁薄膜36上に、第1導電性バリア膜34と離隔する導電性プラグ32又は62を形成する。
一実施形態で、図1Aに例示したように、ビアホール22の長手方向に沿って均一な厚さを有する第2導電性バリア膜32Bと、第2導電性バリア膜32B上に残されたビアホール22の空間を満たす金属プラグ32Aと、を備える導電性プラグ32を形成する。
他の実施形態で、図1Bに例示したように、ビアホール22の長手方向に沿って可変的な厚さを有する第2導電性バリア膜62Bと、第2導電性バリア膜62B上に残されたビアホール22の空間を満たす金属プラグ62Aと、を備える導電性プラグ62を形成する。
図3は、本発明の他の実施形態による集積回路素子の製造方法を説明するフローチャートである。以下の説明で、図1A及び図1Bを参照して説明した構成要素については重複説明を省略する。
図1A及び図1Bと図3を参照すると、工程82で、半導体基板にビアホール22を形成する。半導体基板は、図1A及び図1Bに例示した半導体構造物20を構成する。
工程84で、図2の工程74と同じ方法で、ビアホール22の内壁を覆うビア絶縁膜40を形成する。
工程86で、ビアホール22内でビア絶縁膜40上にTSV構造30A又は30Bを形成する。
一実施形態で、図1Aに例示したように、ビアホール22の長手方向に沿って均一な厚さを有する第2導電性バリア膜32Bを備える導電性プラグ32、導電性プラグ32と離隔する位置で導電性プラグ32を取り囲む第1導電性バリア膜34、及び導電性プラグ32と第1導電性バリア膜34との間に介在する絶縁薄膜36を備えるTSV構造30Aを形成する。
他の実施形態で、図1Bに例示したように、ビアホール22の長手方向に沿って可変的な厚さを有する第2導電性バリア膜62Bを備える導電性プラグ62、導電性プラグ62と離隔する位置で導電性プラグ62を取り囲む第1導電性バリア膜34、及び導電性プラグ62と第1導電性バリア膜34との間に介在する絶縁薄膜36を備えるTSV構造30Bを形成する。
工程88で、導電性プラグ32又は62の一端32T又は62T及び第1導電性バリア膜34の一端34Tにそれぞれ当接するように、導電性プラグ32又は62から第1導電性バリア膜34まで延びる第1導電層52を半導体基板上に形成する。
一実施形態で、導電性プラグ32又は62の他端32L又は62L及び第1導電性バリア膜34の他端34Lにそれぞれ当接するように、導電性プラグ32又は62から第1導電性バリア膜34まで延びる第2導電層54を半導体基板上に更に形成する。
以下、図1A及び図1Bに例示した集積回路素子10A、10Bと、図2及び図3にそれぞれ例示した集積回路素子の製造方法について、更に具体的な例を挙げて詳細に説明する。
図4A〜図4Nは、本発明の一実施形態による集積回路素子100(図4N参照)の製造方法を工程順に示す断面図である。
図4Aを参照すると、基板102上にFEOL(front−end−of−line)構造110を形成し、FEOL構造110上に第1研磨停止層120を形成した後、第1研磨停止層120上にマスクパターン122を形成する。マスクパターン122には、第1研磨停止層120の上面を一部露出させるホール122Hが形成される。
一実施形態で、基板102は、半導体ウェーハである。少なくとも一実施形態で、基板102はシリコン(Si)を含む。他の実施形態で、基板102はゲルマニウム(Ge)のような半導体元素、或いは炭化ケイ素(SiC)、ガリウムヒ素(GaAs)、ヒ化インジウム(InAs)、及びリン化インジウム(InP)などの化合物半導体を含む。少なくとも一つの実施形態で、基板102は、SOI(silicon on insulator)構造を有する。例えば、基板102は、BOX層(buried oxide layer)を備える。一実施形態で、基板102は、導電領域、例えば不純物がドーピングされたウェル又は不純物がドーピングされた構造物を含む。また、基板102は、STI(shallow trench isolation)構造のような多様な素子分離構造を有する。
FEOL構造110は、多様な種類の複数の個別素子112及び層間絶縁膜114を備える。複数の個別素子112は、多様な微細電子素子、例えばMOSFET(metal−oxide−semiconductor field effect transistor)、システムLSI(large scale integration)、CIS(CMOS imaging sensor)などのイメージセンサー、MEMS(micro−electro−mechanical system)、能動素子、受動素子などを含む。複数の個別素子112は、基板102の導電領域に電気的に連結される。また、複数の個別素子112は、それぞれ層間絶縁膜114によって隣接する他の個別素子と電気的に分離される。
一実施形態で、第1研磨停止層120は、シリコン窒化膜で形成される。第1研磨停止層120は、約200〜1000Åの厚さを有するように形成される。第1研磨停止層120を形成するためにCVD工程を用いる。
マスクパターン122は、フォトレジスト材料からなる。
図4Bを参照すると、マスクパターン122(図4A参照)をエッチングマスクとして用いて第1研磨停止層120及び層間絶縁膜114をエッチングし、次いで基板102をエッチングしてビアホール130を形成する。ビアホール130は、基板102に所定深さで形成された第1ホール132と、第1ホール132に連通するように層間絶縁膜114を貫通して形成された第2ホール134と、を備える。
ビアホール130を形成するために、異方性エッチング工程又はレーザードリリング技術を用いる。一実施形態で、ビアホール130は、基板102で約10μm又はそれ以下の幅(130W)を有するように形成される。一実施形態で、ビアホール130は、層間絶縁膜114の上面から約50〜100μmの深さ(130D)を有するように形成される。しかし、ビアホール130の幅及び深さは上記の例に制限されるものではなく、必要に応じて多様な寸法で形成される。ビアホール130の第1ホール132部分で基板102が露出し、ビアホール130の第2ホール134部分で層間絶縁膜114が露出する。
ビアホール130が形成された後、マスクパターン122を除去して第1研磨停止層120の上面を露出させる。
図4Cを参照すると、ビアホール130の内部側壁及び底面を覆うビア絶縁膜140を形成する。
ビア絶縁膜140は、ビアホール130の内部に露出する基板102の表面及び層間絶縁膜114の表面と第1研磨停止層120の表面とを均一な厚さで覆うように形成される。一実施形態で、ビア絶縁膜140は、酸化膜、窒化膜、炭化膜、ポリマー、又はこれらの組み合わせからなる。一実施形態で、ビア絶縁膜140を形成するために、低温CVD工程又はPECVD工程を用いる。ビア絶縁膜140は、約1500〜2500Åの厚さを有するように形成される。
図4Dを参照すると、ビアホール130の内部及び外部で、ビア絶縁膜140上に第1導電性バリア膜144を形成する。
第1導電性バリア膜144は、ビアホール130内でシリンダー型構造を有する。一実施形態で、第1導電性バリア膜144は、比較的低い配線抵抗を有する導電層で形成される。例えば、第1導電性バリア膜144は、W、WN、Ti、TiN、Ta、TaN、及びRuから選択される少なくとも一つを含む単一膜又は多重膜で形成される。例えば、第1導電性バリア膜144は、TaN/W、TiN/W、又はWN/Wからなる多重膜で形成される。第1導電性バリア膜144は、約50〜1000Åの厚さを有するように形成される。
図4Dに例示したように、第1導電性バリア膜144は、ビアホール130の内部側壁を均一な厚さで覆うように形成される。このために、第1導電性バリア膜144を、ALD工程又はCVD工程を用いて形成する。
図4Eを参照すると、ビアホール130の内部及び外部で、第1導電性バリア膜144上に絶縁薄膜146を形成する。
絶縁薄膜146は、ビアホール130の内部側壁を均一な厚さで覆う高密度薄膜で形成される。絶縁薄膜は、ALD工程又はCVD工程を用いて形成される。絶縁薄膜146は、約50〜1000Åの厚さを有するように形成される。
絶縁薄膜146は、ビアホール130内でシリンダー型構造を有する。絶縁薄膜146は、酸化膜、窒化膜、金属酸化膜、高誘電膜、ポリマー、又はこれらの組み合わせからなる。絶縁薄膜146を構成する材料についての詳細な事項は、図1Aを参照して絶縁薄膜36について説明したところを参照する。
図4Fを参照すると、ビアホール130の内部及び外部で、絶縁薄膜146上に第2導電性バリア膜152を形成する。
第2導電性バリア膜152を形成するために、PVD工程又はCVD工程を用いる。
第2導電性バリア膜152は、図1Aに例示した第2導電性バリア膜32Bと同様に、ビアホール130の長手方向に沿って均一な厚さを有するように形成される。しかし、これに限定されるものではない。他の実施形態で、図1Bに例示した第2導電性バリア膜62Bと同様に、ビアホール130の入口側からビアホール130の底面に近くなるほど徐々に薄くなる厚さを有するように形成される。例えば、第2導電性バリア膜152は、ビアホール130の内部で、ビアホール130の入口側では約100〜1000Åの厚さを有し、ビアホール130の底面付近では約0〜50Åの厚さを有するように形成される。このようなビアホール130の長手方向に沿って可変的な厚さを有する第2導電性バリア膜152を形成するために、PVD工程を用いる。
第2導電性バリア膜152は、一種類の物質からなる単一膜又は少なくとも二種類の物質を含む多重膜で形成される。一実施形態で、第2導電性バリア膜152は、W、WN、WC、Ti、TiN、Ta、TaN、Ru、Co、Mn、WN、Ni、及びNiBから選択される少なくとも一つの物質を含む。
図4Gを参照すると、第2導電性バリア膜152上に、ビアホール130の残された空間を満たす金属膜154を形成する。
金属膜154は、ビアホール130の内部及び外部で第2導電性バリア膜152を覆うように形成される。
一実施形態で、金属膜154を形成するために電気メッキ工程を用いる。更に具体的に説明すると、先ず、第2導電性バリア膜152の表面に金属シード層(図示せず)を形成した後、電気メッキ工程によって金属シード層から金属膜を成長させ、第2導電性バリア膜152上にビアホール130を満たす金属膜154を形成する。金属シード層は、Cu、Cu合金、Co、Ni、Ru、Co/Cu、又はRu/Cuからなる。金属シード層を形成するためにPVD工程を用いる。金属膜154の主な材料は、Cu又はWからなる。一実施形態で、金属膜154は、Cu、CuSn、CuMg、CuNi、CuZn、CuPd、CuAu、CuRe、CuW、W、又はW合金からなるが、こられに制限されるものではない。電気メッキ工程は、それぞれ約10〜65℃の温度下で行われる。例えば、電気メッキ工程は、それぞれ常温で行われてもよい。金属膜154が形成された後、必要に応じて、金属膜154が形成された結果物を約150〜450℃の温度下でアニーリングする。
図4Hを参照すると、第1研磨停止層120をストッパとして用いて、金属膜154を備える図4Fの結果物をCMP(chemical mechanical polishing)工程によって研磨し、第1研磨停止層120を露出させる。
その結果、ビア絶縁膜140、第1導電性バリア膜144、絶縁薄膜146、第2導電性バリア膜152、及び金属膜154のうちのビアホール130の外部にある部分は除去され、ビアホール130内で第2導電性バリア膜152上には、金属膜154の一部である金属プラグ154Aが残る。第2導電性バリア膜152及び金属プラグ154Aで構成される導電性プラグ156は、ビアホール130内で絶縁薄膜146を介して第1導電性バリア膜144と離隔する。
図4Iを参照すると、ビアホール130内に金属プラグ154Aが形成された結果物を熱処理する。その結果、金属プラグ154Aを構成する金属粒子が熱処理によって成長し、金属プラグ154Aの露出表面でラフネス(roughness)が劣化する。熱処理によって成長した金属粒子のうちのビアホール130の外部に突出した部分を、CMP工程によって除去する。この時、第1研磨停止層120(図4H参照)も除去され、FEOL構造110の層間絶縁膜114の上面が露出する。
熱処理は、約400〜500℃の温度下で行われる。
ビアホール130内には、第1導電性バリア膜144、絶縁薄膜146、及び導電性プラグ156を備えるTSV構造160が残る。
図4Jを参照すると、TSV構造160を備える図4Iの結果物を洗浄した後、層間絶縁膜114上に第2研磨停止層162、金属層間絶縁膜164、及び第3研磨停止層166を順次に形成し、これらをパターニングしてビアホール130の入口側でTSV構造160の上面及びその周辺を露出させる金属配線用ホール164Hを形成する。
第2研磨停止層162は、金属配線用ホール164Hを形成する時に、エッチングストッパとして用いられる。
金属配線用ホール164Hを通じて、TSV構造160、TSV構造160の外部側壁を包むビア絶縁膜140、及び層間絶縁膜114の一部が露出する。一実施形態で、金属配線用ホール164Hを通じてTSV構造160の上面のみが露出するように金属配線用ホール164Hを形成してもよい。
一実施形態で、金属層間絶縁膜164は、TEOS(tetra−ethyl−ortho−silicate)からなる。第2研磨停止層162及び第3研磨停止層166は、シリコン酸窒化膜で形成される。第2研磨停止層162、金属層間絶縁膜164、及び第3研磨停止層166のそれぞれの厚さは、必要に応じて任意に定められる。
図4Kを参照すると、金属配線用ホール164Hの内部に金属配線層172を形成する。
金属配線層172は、配線用バリア膜172A及び配線用金属層172Bが順次に積層された構造を有する。
一実施形態で、金属配線層172を形成するために、先ず金属配線用ホール164Hの内部及び第3研磨停止層166(図4J参照)上に、配線用バリア膜172Aの形成のための第1膜と、配線用金属層172Bの形成のための第2膜とを順次に形成した後、第3研磨停止層166をストッパとして用いて、第1膜及び第2膜が形成された結果物をCMP工程によって研磨し、第3研磨停止層166を除去して金属層間絶縁膜164の上面を露出させる。その結果、金属配線用ホール164Hの内部に配線用バリア膜172A及び配線用金属層172Bが残る。
一実施形態で、配線用バリア膜172Aは、Ti、TiN、Ta、及びTaNから選択される少なくとも一つの物質を含む。一実施形態で、配線用バリア膜172Aを形成するためにPVD工程を用いる。配線用バリア膜172Aは、約1000〜1500Åの厚さを有するように形成される。
一実施形態で、配線用金属層172BはCuを含む。配線用金属層172Bを形成するために、配線用バリア膜172Aの表面にCuシード層を形成した後、電気メッキ工程によってCuシード層からCu層を成長させ、Cu層が形成された結果物をアニーリングする工程を行う。
図4Lを参照すると、図4J及び図4Kを参照して説明した金属配線層172形成工程と同様の方法で、金属配線層172上に金属配線層172と同じ積層構造を有するコンタクトプラグ174を形成する。次いで、図4J及び図4Kを参照して説明した金属配線層172の形成工程とコンタクトプラグ174の形成工程とを交互に複数回行い、複数の金属配線層172及び複数のコンタクトプラグ174が一つずつ交互に連結される貫通電極用多層配線パターン176を形成する。
一実施形態で、多層配線パターン176が形成される時、基板102上の他の領域でも、複数の金属配線層172及び複数のコンタクトプラグ174から選択される少なくとも一部と同時に形成される金属配線層及びコンタクトプラグを備える他の多層配線パターン(図示せず)が形成される。その結果、FEOL構造110上には、複数の第2研磨停止層162及び金属層間絶縁膜164からなる金属層間絶縁膜構造168と、金属層間絶縁膜構造168によって絶縁される部分を備える複数の多層配線パターンを含むBEOL(back−end−of−line)構造170とが得られる。BEOL構造170は、FEOL構造110に備えられる個別素子を基板102上に形成される他の配線と連結させるための複数の配線構造を備えるように形成される。一実施形態で、BEOL構造170は、配線構造及びその下部の他の構造物を外部衝撃や湿気から保護するためのシーリングを更に含むように形成される。
次に、多層配線パターン176に電気的に連結されるコンタクトパッド180を金属層間絶縁膜構造168上に形成する。
金属層間絶縁膜構造168は、複数の金属配線層172を互いに離隔させる役割を行う。複数の金属配線層172及び複数のコンタクトプラグ174は、それぞれ金属層間絶縁膜構造168によって同一レベルで互いに隣接する他の配線と電気的に分離される。
図4Lで、多層配線パターン176が3個の金属配線層172及び3個のコンタクトプラグ174を備えるとして例示しているが、これは説明の簡略化のために例示したものに過ぎず、本発明の範囲がこれに限定されるものではない。また、図4Lに示した多層配線パターン176で、金属配線層172及びコンタクトプラグ174の連結構造は例示に過ぎず、本発明の範囲が図4Lに例示した構造に限定されるものではない。
一実施形態で、複数の金属配線層172及び複数のコンタクトプラグ174は、それぞれW、Al、及びCuから選択される少なくとも一つの金属を含む。一実施形態で、複数の金属配線層172及び複数のコンタクトプラグ174は同じ材料からなる。他の実施形態で、複数の金属配線層172及び複数のコンタクトプラグ174のうちの少なくとも一部が互いに異なる材料を含むように構成される。
一実施形態で、金属層間絶縁膜構造168内には、多層配線パターン176と同一レベルに形成される他の複数の多層配線パターン(図示せず)が形成される。また、金属層間絶縁膜162上には、コンタクトパッド180と同一レベルに形成される他の複数のコンタクトパッド(図示せず)が形成される。
図4Mを参照すると、基板102をその底面から一部除去してTSV構造160の底面160Bを露出させる。
TSV構造160の底面160Bには、第1導電性バリア膜144の一部と導電性プラグ156の一部とが共に露出する。
図4Mに例示したように、基板102の底面102BからTSV構造160の底面160Bが相対的に突出するように基板102の一部を除去する。一実施形態で、基板102をその底面から一部除去するために、CMP工程、エッチバック工程、又はこれらの組み合わせを用いる。
基板102がその底面から一部除去された後、ビアホール130は、基板102及び層間絶縁膜114を貫通する貫通ホールになる。基板102の底面102Bからビア絶縁膜140の一部及びTSV構造160の一部が共に突出する。TSV構造160の底面160Bが露出した後、TSV構造160のうちの基板102の底面102Bから突出した部分の周りでTSV構造160を取り囲むビア絶縁膜140を、等方性エッチング又は異方性エッチング工程を用いて除去し、第1導電性バリア膜144の端部144Eの側壁を一部露出させる。
図4Nを参照すると、TSV構造160の底面160Bの周りで基板102の底面102Bを覆うバックサイド絶縁膜190を形成し、集積回路素子100を形成する。
一実施形態で、バックサイド絶縁膜190は、スピンコーティング工程又はスプレー工程によって形成される。バックサイド絶縁膜190は、ポリマーからなる。一実施形態で、バックサイド絶縁膜190を形成するために基板102の底面102B及びTSV構造160の底面160Bを覆うポリマー膜を形成した後、ポリマー膜を一部エッチバックしてTSV構造160の底面160Bを露出させる。
図4Nに例示した半導体素子100で、TSV構造160は、基板102によって取り囲まれる側壁部分と、FEOL構造110の層間絶縁膜114によって取り囲まれる側壁部分とを有する。基板102及びFEOL構造110は、図1Aに例示した半導体構造物20に対応し、TSV構造160は、図1Aに例示したTSV構造30Aに対応する。TSV構造160の底面160Bには、導電層(図示せず)が連結される。一実施形態で、導電層は、図1A及び図1Bに例示した第1導電層52又は第2導電層54に対応する。
図4Nに例示した半導体素子100で、第2導電性バリア膜152がビアホール130の長手方向に沿って均一な厚さを有するとして例示したが、本発明の範囲内で第2導電性バリア膜152は、ビアホール130の長手方向に沿って可変的な厚さを有してもよい。
図5は、本発明の他の実施形態による集積回路素子200の要部構成を示す断面図である。図5において、図4A〜図4Nと同じ参照符号は同じ部材を示し、従って、ここでは重複を回避するために、これらについての詳細な説明を省略する。
集積回路素子200で、TSV構造260は、ビアホール130の内部で層間絶縁膜114の上面に隣接する部分における厚さD3より、基板102の底面102Bに隣接する部分における厚さD4が更に薄い第2導電性バリア膜252を有する導電性プラグ256を備えることを除いては、図4Nに例示したTSV構造160と同じ構成を有する。
図6は、本発明の一実施形態による集積回路素子300の要部構成を示す断面図である。図6において、図4A〜図4Nと同じ参照符号は同じ部材を示し、従って、ここでは重複を回避するために、これらについての詳細な説明を省略する。
図6を参照すると、集積回路素子300で、TSV構造360は、その側壁がビア絶縁膜340によって取り囲まれた状態で、基板102、FEOL構造110の層間絶縁膜114、及びBEOL構造170の金属層間絶縁膜構造168を貫通するように形成される。
TSV構造360は、導電性プラグ356、導電性プラグ356と離隔する位置で導電性プラグ356を取り囲む第1導電性バリア膜344、及び導電性プラグ356と第1導電性バリア膜344との間に介在する絶縁薄膜346を備える。
導電性プラグ356は、基板102、FEOL構造110の層間絶縁膜114、及びBEOL構造170の金属層間絶縁膜構造168を貫通する第2導電性バリア膜352と、第2導電性バリア膜352によって取り囲まれる金属プラグ354と、を備える。導電性プラグ356は、絶縁薄膜346を介して第1導電性バリア膜344と離隔する。一実施形態で、第2導電性バリア膜352は省略される。
TSV構造360は、基板102によって取り囲まれる部分と、FEOL構造110の層間絶縁膜114によって取り囲まれる部分と、BEOL構造170の金属層間絶縁膜構造168によって取り囲まれる部分と、を備える。
TSV構造360を形成するために、次のような一連の工程を行う。
先ず、図4Aを参照して説明したような方法でFEOL構造110を形成した後、図4J〜図4Lを参照して説明したような方法で、多層配線パターン176及び金属層間絶縁膜構造168を備えるBEOL構造170を形成する。
次に、金属層間絶縁膜構造168、層間絶縁膜114、及び基板102を順次にエッチングしてビアホール330を形成し、図4A〜図4Iを参照してビア絶縁膜340及びTSV構造160を形成する工程について説明したことと同様の方法で、ビアホール330内にビア絶縁膜340及びTSV構造360を形成する。次いで、多層配線パターン176に電気的に連結可能なコンタクトパッド180と、TSV構造360に電気的に連結可能なコンタクトパッド380と、を形成する。TSV構造360の第1導電性バリア膜344の一部と導電性プラグ156の一部とがコンタクトパッド380に接触する。
次に、図4M及び図4Nを参照して説明したような方法でTSV構造360の底面360Bを露出させた後、基板102の底面120Bを覆うバックサイド絶縁膜190を形成して集積回路素子300を形成する。
TSV構造360についての詳細な事項は、図4A〜図4Nを参照してTSV構造160について説明したことと略同一である。
図6に例示した半導体素子300で、第2導電性バリア膜352がビアホール330の長手方向に沿って均一な厚さを有するとして例示したが、本発明の範囲内で第2導電性バリア膜352は、ビアホール330の長手方向に沿って可変的な厚さを有してもよい。
図7は、本発明の他の実施形態による集積回路素子400の要部構成を示す断面図である。図7において、図4A〜図4N及び図6と同じ参照符号は同じ部材を示し、従って、ここでは重複を回避するために、これらについての詳細な説明を省略する。
集積回路素子400で、TSV構造460は、ビアホール330の内部でBEOL構造170の金属層間絶縁膜構造168の上面に隣接する部分における厚さD5より、基板102の底面102Bに隣接する部分における厚さD6が更に薄い第2導電性バリア膜452を有する導電性プラグ456を備えることを除いては、図6に例示した集積回路素子300のTSV構造360と同じ構成を有する。
図8は、本発明の一実施形態による集積回路素子500の要部構成を示す断面図である。図8において、図4A〜図4Nと同じ参照符号は同じ部材を示し、従って、ここでは重複を回避するために、これらについての詳細な説明を省略する。
図8を参照すると、集積回路素子500で、TSV構造560は、その側壁がビア絶縁膜540によって取り囲まれた状態で基板102を貫通するように形成される。
TSV構造560は、FEOL構造110より更に低いレベルに形成される。TSV構造560は、導電性プラグ556、導電性プラグ556と離隔する位置で導電性プラグ556を取り囲む第1導電性バリア膜544、及び導電性プラグ556と第1導電性バリア膜544との間に介在する絶縁薄膜546を備える。
導電性プラグ556は、基板102を貫通する第2導電性バリア膜552と、第2導電性バリア膜552によって取り囲まれる金属プラグ554とを備える。導電性プラグ556は、絶縁薄膜546を介して第1導電性バリア膜544と離隔する。一実施形態で、第2導電性バリア膜552は省略される。TSV構造560は、基板102によって取り囲まれる側壁を有する。
TSV構造560を形成するために、次のような一連の工程を行う。
先ず、基板102上にFEOL構造110を形成する前に、基板102にビアホール530を形成した後、図4A〜図4Iを参照して説明したことと同様の方法で、ビアホール530内にビア絶縁膜540と、ビア絶縁膜540によって取り囲まれるTSV構造560とを形成する。
TSV構造560が形成された基板102上に、図4Aを参照して説明したようなFEOL構造110を形成する。FEOL構造110は、TSV構造560に電気的に連結可能な配線構造518を更に備える。TSV構造560の第1導電性バリア膜544の一部と導電性プラグ556の一部とが配線構造518に接触する。配線構造518は、複数の導電層及び複数のコンタクトプラグを備える多層配線構造を有する。しかし、配線構造518についての具体的な形状は、上述したことに制限されるものではなく、本発明の範囲内で多様な配線構造を有する。
次に、図4J〜図4Lを参照して説明したような方法で、多層配線パターン176及び金属層間絶縁膜構造168を備えるBEOL構造170を形成する。本実施形態で、BEOL構造170は、配線構造518を通じてTSV構造560に電気的に連結可能な多層配線パターン576を更に備える。次いで、金属層間絶縁膜構造168上に、多層配線パターン176、576に電気的に連結可能なコンタクトパッド180、580を形成する。
次に、図4M及び図4Nを参照して説明したような方法でTSV構造560の底面560Bを露出させた後、基板102の底面120Bを覆うバックサイド絶縁膜190を形成して集積回路素子500を形成する。
TSV構造560についての詳細な事項は、図4A〜図4Nを参照してTSV構造160について説明したことと略同一である。
図8に例示した半導体素子500で、第2導電性バリア膜552がビアホール530の長手方向に沿って均一な厚さを有するとして例示したが、本発明の範囲内で第2導電性バリア膜552は、ビアホール530の長手方向に沿って可変的な厚さを有してもよい。
図9は、本発明の他の実施形態による集積回路素子600の要部構成を示す断面図である。図9において、図4A〜図4N及び図8と同じ参照符号は同じ部材を示し、従って、ここでは重複を回避するために、これらについての詳細な説明を省略する。
集積回路素子600で、TSV構造660は、ビアホール530の内部で基板102の上面102Tに隣接する部分における厚さD7より、基板102の底面102Bに隣接する部分における厚さD8が更に薄い第2導電性バリア膜652を有する導電性プラグ656を備えることを除いては、図8に例示した集積回路素子500のTSV構造560と同じ構成を有する。
図10A〜図10Kは、本発明の一実施形態による集積回路素子700(図10K参照)の製造方法を示す断面図である。図10A〜図10Kにおいて、図4A〜図4Nと同じ参照符号は同じ部材を示し、従って、ここでは重複を回避するために、これらについての詳細な説明を省略する。
図10Aを参照すると、基板102上に複数の個別素子112及び層間絶縁膜114を備えるFEOL構造110を形成し、FEOL構造110上にエッチング停止層710を形成し、エッチング停止層710上にBEOL構造170を形成する。BEOL構造170は、金属層間絶縁膜構造168及び複数の多層配線パターン176を備える。複数の多層配線パターン176は、それぞれ複数の金属配線層172及び複数のコンタクトプラグ174で形成される。
金属層間絶縁膜構造168上に複数のコンタクトパッド180を形成し、BEOL構造170上にパッシベーション層782及び複数のバンプ784を形成する。図10Aで、複数のバンプ784は、それぞれ第1金属層784A及び第2金属層784Bの積層構造を有するとして例示したが、本発明はこれに限定されるものではなく、多様な構造を有するバンプ784を形成できる。
図10Bを参照すると、基板102で複数のバンプ784が形成された表面に接着コーティング層786を付加し、接着コーティング層786を付着材料として用いて、複数のバンプ784が形成された基板102をウェーハ支持基板788上に搭載する。
他の実施形態で、図10Bの結果物を得るために、接着コーティング層786が取り付けられたウェーハ支持基板788に、複数のバンプ784が形成された基板102を取り付けてもよい。
基板102のうち、ウェーハ支持基板788で覆われた側の反対側基板102のバックサイド102Dが外部に露出する。
図10Cを参照すると、基板102のバックサイド102D上にハードマスク層722を形成した後、ハードマスク層722上にマスクパターン724を形成する。
一実施形態で、ハードマスク層722はシリコン窒化膜からなる。ハードマスク層722は、約200〜1000Åの厚さを有するように形成される。
マスクパターン724には、ハードマスク層722の上面を一部露出させる複数のホール724Hが形成される。一実施形態で、マスクパターン724は、フォトレジスト材料からなる。
図10Dを参照すると、マスクパターン724(図10C参照)をエッチングマスクとして用い、ハードマスク層722をエッチングしてハードマスクパターン722Pを形成し、マスクパターン724及びハードマスクパターン722Pをエッチングマスクとして用い、基板102及びエッチング停止層710をエッチングして金属配線層172を露出させる複数のビアホール730を形成する。複数のビアホール730は、基板102及びFEOL構造110の層間絶縁膜114を貫通して延びる形状を有する。
複数のビアホール730を形成するために、異方性エッチング工程又はレーザードリリング技術を用いる。一実施形態で、複数のビアホール730を形成するための層間絶縁膜114のエッチング時、エッチング停止層710を用いてエッチング終了点を定める。複数のビアホール730は、約10μm又はそれ以下の幅と、約50〜100μmの深さとを有する。しかし、複数のビアホール730の幅及び深さは例示したものに制限されるものではなく、必要に応じて多様な寸法に形成される。
複数のビアホール730が形成された後、マスクパターン724(図10C参照)を除去してハードマスクパターン722Pの上面を露出させる。
図10Eを参照すると、複数のビアホール730の内部側壁を覆う複数のビア絶縁膜740を形成する。
一実施形態で、ビア絶縁膜740を形成するために、先ず、複数のビアホール730の内壁及び基板102のバックサイド102Dを覆う絶縁膜(図示せず)を形成した後、複数のビアホール730の内部で複数の金属配線層172が露出するように、絶縁膜のうちの一部を異方性イオンエッチング工程によって除去する工程を行う。絶縁膜は、CVD工程によって形成される。一実施形態で、複数のビア絶縁膜740についての詳細な事項は、図4Cを参照してビア絶縁膜140について説明したことを参照する。
図10Fを参照すると、複数のビアホール730内部及び外部で、複数のビア絶縁膜740上に複数の第1導電性バリア膜744を形成する。
複数の第1導電性バリア膜744を形成するために、複数のビア絶縁膜740が形成された結果物の露出表面上にバリア層(図示せず)を形成した後、複数のビアホール730の内部で複数の金属配線層172が露出するように、バリア層のうちの一部を異方性イオンエッチング工程によって除去する工程を行う。
複数の金属配線層172には、それぞれ複数の第1導電性バリア膜744の一部が接触する。複数の第1導電性バリア膜744についての詳細な事項は、図4Dを参照して第1導電性バリア膜144について説明したことを参照する。
図10Gを参照すると、複数の第1導電性バリア膜744を覆う複数の絶縁薄膜746を形成する。複数のビアホール730の内部で、複数の絶縁薄膜746を通じて複数の金属配線層172が露出する。
複数の絶縁薄膜746についての詳細な事項は、図4Eを参照して絶縁薄膜146について説明したことを参照する。
図10Hを参照すると、図4Fを参照して説明した第2導電性バリア膜152の形成工程と同様の方法で、複数の絶縁薄膜746及び複数の金属配線層172上に第2導電性バリア膜752を形成する。
一実施形態で、第2導電性バリア膜752は、ビアホール730内で均一な厚さを有するように形成される。他の実施形態で、第2導電性バリア膜752は、ビアホール730の内部で可変的な厚さを有するように形成される。例えば、第2導電性バリア膜752は、ビアホール370の入口側付近における厚さが、ビアホール730の底面側付近における厚さより更に厚い。一実施形態で、第2導電性バリア膜752の形成工程は省略される。
図10Iを参照すると、図4Gを参照して金属膜154の形成方法について説明したような方法で、第2導電性バリア膜752上に金属膜754を形成する。
第2導電性バリア膜752を形状しない場合、金属膜754は、複数の金属配線層172と直接接触するように形成される。
図10Jを参照すると、図4H及び図4Iを参照して説明したことと同様の方法で、ハードマスクパターン722P(図10I参照)をストッパとして用い、金属膜754を備える図10Iの結果物を研磨し、ハードマスクパターン722Pを除去して基板102を露出させ、複数のビアホール730内に第2導電性バリア膜752及び金属プラグ754Aで構成される導電性プラグ756を形成する。導電性プラグ756についての詳細な事項は、図4H及び図4Iを参照して導電性プラグ156について説明したことを参照する。
複数のビアホール730内には、それぞれ第1導電性バリア膜744、絶縁薄膜746、及び導電性プラグ756を備える複数のTSV構造760が残る。
図10Kを参照すると、複数のビアホール730のそれぞれの入口側に、複数のTSV構造760に電気的に連結可能な複数のコンタクトパッド790を形成する。複数のTSV構造760で、第1導電性バリア膜744及び導電性プラグ756は絶縁薄膜746によって互いに離隔しており、第1導電性バリア膜744及び導電性プラグ756は、それぞれコンタクトパッド790に当接する。
次いで、ウェーハ支持基板788及び接着コーティング層786(図10J参照)を除去し、複数のバンプ784を露出させて集積回路素子700を得る。
図11は、本発明の一実施形態による集積回路素子800の要部構成を示す断面図である。
図11を参照すると、集積回路素子800は半導体ダイ802を備える。半導体ダイ802は、アナログ又はデジタル回路を備える活性領域804を備える。半導体ダイ802の活性領域804上に形成された複数のコンタクトパッド806には、複数の半田バンプ808が連結される。
半導体ダイ802には、半導体ダイ802を貫通する複数のTSV構造810が形成される。複数のTSV構造810は、ビア絶縁膜(図示せず)によって半導体ダイ802の活性領域と電気的に分離される。
複数のTSV構造810は、導電性プラグ812と、導電性プラグ812と離隔して導電性プラグ812を取り囲むシリンダー型の導電性バリア膜814と、導電性プラグ812と導電性バリア膜814との間に介在するシリンダー型の絶縁薄膜816と、を備える。
複数のTSV構造810で、導電性プラグ812及び導電性バリア膜814は、それぞれ半導体ダイ802の一側802Tから他側802Bまで延びて、複数のコンタクトパッド806を通じて複数の半田バンプ808に電気的に連結される。
半導体ダイ802は、基板820上に装着される。基板820の両側には複数のコンタクトパッド826、828が形成される。複数の半田バンプ808は、基板820の一側に形成された複数のコンタクトパッド826に連結される。複数のTSV構造810の導電性プラグ812及び導電性バリア膜814が、それぞれ半田バンプ808を通じて基板820のコンタクトパッド826に電気的に連結される。
半導体ダイ802と基板820との間には、エポキシ樹脂又は無機材料からなるアンダーフィル(underfill)材料層830が満たされる。複数のコンタクトパッド806、826及び複数の半田バンプ808が、アンダーフィル材料層830によって保護される。
基板820上で、半導体ダイ802の両側にはモルディング化合物層840が堆積される。モルディング化合物層840は、絶縁物質からなる。モルディング化合物層840によって、外部環境又は汚染物から半導体ダイ802を保護する。
半導体ダイ802上には、導電層850が形成される。導電層850は、複数のTSV構造810を通じて基板820のコンタクトパッド826に電気的に連結される。導電層850は、金属又は金属含有物質からなる。複数のTSV構造810の導電性プラグ812及び導電性バリア膜814が、それぞれ導電層850に電気的に連結される。
一実施形態で、導電層850は、EMI(electromagnetic interference)、RFI(radio frequency interference)などの素子間干渉を遮断するための導電性シールド層として使用される。
図12は、本発明の一実施形態による集積回路素子1000の要部構成を示す断面図である。
図12を参照すると、集積回路素子1000は、パッケージ基板1010上に順次に積層された複数の半導体チップ1020を備える。複数の半導体チップ1020上に、制御チップ1030が連結される。複数の半導体チップ1020と制御チップ1030との積層構造は、パッケージ基板1010上で熱硬化性樹脂などの密封材1040で密封される。図12には、6個の半導体チップ1020が垂直に積層された構造を例示したが、半導体チップ1020の数及び積層方向が例示したものに制限されるものではない。半導体チップ1020の数は、必要に応じて6個より更に少なく又は更に多く定められる。複数の半導体チップ1020は、パッケージ基板1010上に水平方向に配列されてもよく、垂直方向実装及び水平方向実装を組み合わせた連結構造で配列されてもよい。一実施形態で、制御チップ1030は省略される。
パッケージ基板1010は、軟性印刷回路基板、硬性印刷回路基板、又はこれらの組み合わせで形成される。パッケージ基板1010は、基板内部配線1012及び接続端子1014を備える。接続端子1014は、パッケージ基板1010の一面に形成される。パッケージ基板1010の他面には、ソルダボール1016が形成される。接続端子1014は、基板内部配線1012を通じてソルダボール1016に電気的に接続される。一実施形態で、ソルダボール1016は、導電性バンプ又はLGA(lead grid array)に置き替えられる。
複数の半導体チップ1020及び制御チップ1030のそれぞれのTSV構造1022、1032は、バンプなどの連結部材1050によってパッケージ基板1010の接続端子1014に電気的に連結される。一実施形態で、制御チップ1030のTSV構造1032が省略される。
複数の半導体チップ1020及び制御チップ1030のうちの少なくとも一つは、図1A〜図11を参照して説明したような集積回路素子10A、10B、100、200、300、400、500、600、700、800のうちの少なくとも一つを備える。複数のTSV構造1022、1032のうちの少なくとも一つは、それぞれ図1A〜図11を参照して説明したような集積回路素子10A、10B、100、200、300、400、500、600、700、800にそれぞれ備えられたTSV構造のうちのいずれか一つの構造を有する。
複数の半導体チップ1020は、それぞれシステムLSI、フラッシュメモリ、DRAM、SRAM、EEPROM、PRAM、MRAM、又はReRAMを含む。制御チップ1030は、SER/DES(serializer/deserializer)回路のようなロジッグ回路を備える。
図13は、本発明の一実施形態による集積回路素子1100の要部構成を示す平面図である。
集積回路素子1100は、モジュール基板1110と、モジュール基板1110に装着された制御チップ1120及び複数の半導体パッケージ1130を備える。モジュール基板1110には、複数の入出力端子1150が形成される。
複数の半導体パッケージ1130は、図1A〜図12を参照して説明したような集積回路素子10A、10B、100、200、300、400、500、600、700、800、1000のうちの少なくとも一つを備える。
図14は、本発明の一実施形態による集積回路素子1200の要部構成を示すブロック図である。
集積回路素子1200は、制御器1210、入/出力装置1220、メモリ1230、及びインターフェース1240を備える。集積回路素子1200は、モバイルシステム、或いは情報を伝送するか又は伝送されるシステムである。一実施形態で、モバイルシステムは、PDA(personal digital assistant)、ポータブルコンピュータ、ウェブタブレット、無線フォン、モバイルフォン、デジタルミュージックプレーヤー、又はメモリカードのうちの少なくとも一つである。
一実施形態で、制御器1210は、マイクロプロセッサ、デジタル信号プロセッサ、又はマイクロコントローラである。
入/出力装置1220は、集積回路素子1200のデータ入出力に用いられる。集積回路素子1200は、入/出力装置1220を用いて外部装置、例えばパソコン又はネットワークに連結され、外部装置と相互にデータを交換する。一実施形態で、入/出力装置1220は、キーパッド、キーボード、又は表示装置である。
一実施形態で、メモリ1230は、制御器1210の動作のためのコード及び/又はデータを保存する。他の実施形態で、メモリ1230は、制御器1210で処理されたデータを保存する。制御器1210及びメモリ1230のうちの少なくとも一つは、図1A〜図13を参照して説明したような集積回路素子10A、10B、100、200、300、400、500、600、700、800、1000、1100のうちの少なくとも一つを含む。
インターフェース1240は、集積回路素子1200と他の外部装置との間でデータ伝送通路の役割を行う。制御器1210、入/出力装置1220、メモリ1230、及びインターフェース1240は、バス1250を通じて互いに通信する。
集積回路素子1200は、モバイルフォン、MP3プレーヤー、ナビゲーションシステム、ポータブルマルチメディア再生機(portable multimedia player:PMP)、固体ディスク(solid state disk:SSD)、又は家庭電化製品に含まれる。
以上、本発明の実施形態について図面を参照しながら詳細に説明したが、本発明は、上述の実施形態に限定されるものではなく、本発明の技術的範囲から逸脱しない範囲内で多様に変更実施することが可能である。
本発明は、TSV構造を備える集積回路素子及びその製造方法関連の技術分野に好適に用いられる。
10A、10B、100、200、300、400、500、600、700、800、1000、1100、1200 集積回路素子
20 半導体構造物
20B 第2表面
20T 第1表面
22、130、330、530、730 ビアホール
30A、30B、160、260、360、460、560、660、760、810、1022、1032 TSV(through−silicon−via)構造
32、62、156、256、356、456、556、656、756、812 導電性プラグ
32A、62A、154A、354、554、754A 金属プラグ
32B、62B、152、352、452、552、652、752 第2導電性バリア膜
32L 導電性プラグの他端
32T、62T 導電性プラグの一端
34、144、344、544、744 第1導電性バリア膜
34L 第1導電性バリア膜の他端
34T 第1導電性バリア膜の一端
36、146、346、546、746、816 絶縁薄膜
40、140、340、540、740 ビア絶縁膜
52 第1導電層
54 第2導電層
102、820 基板
102B 基板の底面
102D 基板のバックサイド
110 FEOL(front−end−of−line)構造
112 個別素子
114 層間絶縁膜
120 第1研磨停止層
122、724 マスクパターン
122H、724H ホール
132 第1ホール
134 第2ホール
130D 深さ
130W 幅
144E 第1導電性バリア膜の端部
154、754 金属膜
160B、360B、560B TSV構造の底面
162 第2研磨停止層
164 金属層間絶縁膜
164H 金属配線用ホール
166 第3研磨停止層
168 金属層間絶縁膜構造
170 BEOL(back−end−of−line)構造
172 金属配線層
172A 配線用バリア膜
172B 配線用金属層
174 コンタクトプラグ
176、576 多層配線パターン
180、380、580、790、806、826、828 コンタクトパッド
190 バックサイド絶縁膜
518 配線構造
710 エッチング停止層
722 ハードマスク層
722P ハードマスクパターン
782 パッシベーション層
784 バンプ
784A 第1金属層
784B 第2金属層
786 接着コーティング層
788 ウェーハ支持基板
802 半導体ダイ
802B 半導体ダイの他側
802T 半導体ダイの一側
804 活性領域
808 半田バンプ
814 導電性バリア膜
830 アンダーフィル材料層
840 モルディング化合物層
850 導電層
1010 パッケージ基板
1012 基板内部配線
1014 接続端子
1016 ソルダボール
1020 半導体チップ
1030 制御チップ
1040 密封材
1050 連結部材
1110 モジュール基板
1120 制御チップ
1130 半導体パッケージ
1150 入出力端子
1210 制御器
1220 入/出力装置
1230 メモリ
1240 インターフェース
1250 バス

Claims (30)

  1. 半導体構造物と、
    前記半導体構造物を貫通するTSV(through−silicon−via)構造と、を備え、
    前記TSV構造は、
    導電性プラグと、
    前記導電性プラグと離隔して配置され、前記導電性プラグを取り囲む第1導電性バリア膜と、
    前記導電性プラグと前記第1導電性バリア膜との間に介在する絶縁薄膜と、を備えることを特徴とする集積回路素子。
  2. 前記導電性プラグは、第1金属を含み、
    前記第1導電性バリア膜は、前記第1金属とは異なる第2金属を含むことを特徴とする請求項1に記載の集積回路素子。
  3. 前記半導体構造物と前記第1導電性バリア膜との間に介在するビア絶縁膜を更に備えることを特徴とする請求項1に記載の集積回路素子。
  4. 前記絶縁薄膜の厚さは、前記ビア絶縁膜の厚さより更に薄いことを特徴とする請求項3に記載の集積回路素子。
  5. 前記半導体構造物の第1表面上に、前記導電性プラグの一端及び前記第1導電性バリア膜の一端に当接する第1導電層を更に備えることを特徴とする請求項1に記載の集積回路素子。
  6. 前記半導体構造物の第1表面の反対側である第2表面上に、前記導電性プラグの他端及び前記第1導電性バリア膜の他端に当接する第2導電層を更に備えることを特徴とする請求項5に記載の集積回路素子。
  7. 前記導電性プラグ及び前記第1導電性バリア膜が互いに等電位を有するように、前記導電性プラグ及び前記第1導電性バリア膜は、前記第1導電層及び前記第2導電層を通じて互いに電気的に連結されることを特徴とする請求項6に記載の集積回路素子。
  8. 前記第1導電性バリア膜は、前記TSV構造の長手方向に沿って均一な厚さを有することを特徴とする請求項1に記載の集積回路素子。
  9. 前記絶縁薄膜は、前記TSV構造の長手方向に沿って均一な厚さを有することを特徴とする請求項1に記載の集積回路素子。
  10. 前記導電性プラグは、
    前記絶縁薄膜によって取り囲まれて前記半導体構造物を貫通する金属プラグと、
    前記金属プラグと前記絶縁薄膜との間で、前記金属プラグの外部側壁を取り囲む第2導電性バリア膜と、を備えることを特徴とする請求項1に記載の集積回路素子。
  11. 前記第1導電性バリア膜は、前記TSV構造の長手方向に沿って均一な厚さを有し、
    前記第2導電性バリア膜は、前記TSV構造の長手方向に沿って可変的な厚さを有することを特徴とする請求項10に記載の集積回路素子。
  12. 前記半導体構造物は、半導体基板と、該半導体基板を覆う層間絶縁膜と、を備え、
    前記導電性プラグ、前記絶縁薄膜、及び前記第1導電性バリア膜は、それぞれ前記半導体基板及び前記層間絶縁膜を貫通して延びることを特徴とする請求項1に記載の集積回路素子。
  13. 前記半導体構造物は、半導体基板と、該半導体基板を覆う層間絶縁膜と、該層間絶縁膜を覆う金属層間絶縁膜と、を備え、
    前記導電性プラグ、前記絶縁薄膜、及び前記第1導電性バリア膜は、それぞれ前記半導体基板、前記層間絶縁膜、及び前記金属層間絶縁膜を貫通して延びることを特徴とする請求項1に記載の集積回路素子。
  14. 接続端子を有するパッケージ基板と、
    前記パッケージ基板上に積層され、半導体基板及び前記半導体基板を貫通するTSV(through−silicon−via)構造を備える少なくとも一つの半導体チップと、を備え、
    前記TSV構造は、
    前記接続端子に連結される導電性プラグと、
    前記導電性プラグと離隔して前記導電性プラグを取り囲み、前記接続端子に連結される第1導電性バリア膜と、
    前記導電性プラグと前記第1導電性バリア膜との間に介在する絶縁薄膜と、を備えることを特徴とする集積回路素子。
  15. 前記少なくとも一つの半導体チップは、前記半導体基板上に形成された複数の導電層を更に備え、
    前記導電性プラグ及び前記第1導電性バリア膜は、互いに等電位を有するように前記複数の導電層のうちの少なくとも一つの導電層を通じて互いに電気的に連結されることを特徴とする請求項14に記載の集積回路素子。
  16. 前記パッケージ基板と前記少なくとも一つの半導体チップとの間に、これらを互いに電気的に連結する導電層を更に備え、
    前記導電性プラグ及び前記第1導電性バリア膜は、互いに等電位を有するように前記導電層を通じて互いに電気的に連結されることを特徴とする請求項14に記載の集積回路素子。
  17. 前記導電層は、半田バンプからなることを特徴とする請求項16に記載の集積回路素子。
  18. 半導体構造物にビアホールを形成する段階と、
    前記ビアホールの内壁を覆うビア絶縁膜を形成する段階と、
    前記ビアホール内で前記ビア絶縁膜上に第1導電性バリア膜を形成する段階と、
    前記ビアホール内で前記第1導電性バリア膜上に絶縁薄膜を形成する段階と、
    前記ビアホール内で前記絶縁薄膜上に、前記第1導電性バリア膜と離隔する導電性プラグを形成する段階と、を有することを特徴とする集積回路素子の製造方法。
  19. 前記ビア絶縁膜は、前記ビアホール内で第1厚さを有するように形成され、
    前記絶縁薄膜は、前記ビアホール内で前記第1厚さより薄い第2厚さを有するように形成されることを特徴とする請求項18に記載の集積回路素子の製造方法。
  20. 前記第1導電性バリア膜は、前記ビアホールの長手方向に沿って均一な厚さを有するように形成されることを特徴とする請求項18に記載の集積回路素子の製造方法。
  21. 前記絶縁薄膜は、前記ビアホールの長手方向に沿って均一な厚さを有するように形成されることを特徴とする請求項18に記載の集積回路素子の製造方法。
  22. 前記導電性プラグを形成する段階は、
    前記ビアホール内で前記絶縁薄膜上に第2導電性バリア膜を形成する段階と、
    前記ビアホール内で前記第2導電性バリア膜上に金属プラグを形成する段階と、を含むことを特徴とする請求項18に記載の集積回路素子の製造方法。
  23. 前記第2導電性バリア膜は、前記ビアホールの入口付近より前記ビアホールの底面付近で更に薄い厚さを有するように形成されることを特徴とする請求項22に記載の集積回路素子の製造方法。
  24. 半導体基板内にビアホールを形成する段階と、
    前記ビアホールの内壁を覆うビア絶縁膜を形成する段階と、
    前記ビアホール内で前記ビア絶縁膜上に、導電性プラグ、前記導電性プラグと離隔して前記導電性プラグを取り囲む第1導電性バリア膜、及び前記導電性プラグと前記第1導電性バリア膜との間に介在する絶縁薄膜を備えるTSV(through−silicon−via)構造を形成する段階と、
    前記導電性プラグの一端から前記第1導電性バリア膜の一端まで延びる第1導電層を前記TSV構造の一側に形成する段階と、を有することを特徴とする集積回路素子の製造方法。
  25. 前記TSV構造を形成する段階は、前記ビア絶縁膜の厚さより薄い厚さを有する前記絶縁薄膜を形成する段階を含むことを特徴とする請求項24に記載の集積回路素子の製造方法。
  26. 第1部分及び第2部分を備える半導体構造物と、
    前記半導体構造物の前記第1部分と前記第2部分との間に位置するビア構造と、を備え、
    前記ビア構造は、
    導電性プラグと、
    前記導電性プラグと離隔して配置された導電性バリア膜と、
    前記導電性プラグと前記導電性バリア膜との間に介在する絶縁層と、を備えることを特徴とする集積回路素子。
  27. 前記導電性プラグの一端及び前記導電性バリア膜の一端に形成された導電層を更に備えることを特徴とする請求項26に記載の集積回路素子。
  28. 前記導電層は、前記半導体構造物の前記第1部分の表面から前記半導体構造物の前記第2部分の表面まで延びることを特徴とする請求項27に記載の集積回路素子。
  29. 前記半導体構造物の前記第1部分の表面、前記半導体構造物の前記第2部分の表面、前記導電性プラグの一端、及び前記導電性バリア膜の一端は、略同一平面上にあることを特徴とする請求項28に記載の集積回路素子。
  30. 前記ビア構造は、TSV(through−silicon−via)構造を備え、
    前記導電性バリア膜は、第1導電性バリア膜を備え、
    前記導電性プラグは、
    金属プラグと、
    前記絶縁層と前記金属プラグとの間に介在する第2導電性バリア膜と、を備え、
    前記第2導電性バリア膜は、不均一な厚さを有することを特徴とする請求項26に記載の集積回路素子。
JP2013187057A 2012-09-12 2013-09-10 Tsv構造を備える集積回路素子及びその製造方法 Active JP6310217B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2012-0101147 2012-09-12
KR1020120101147A KR101932660B1 (ko) 2012-09-12 2012-09-12 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법

Publications (2)

Publication Number Publication Date
JP2014057065A true JP2014057065A (ja) 2014-03-27
JP6310217B2 JP6310217B2 (ja) 2018-04-11

Family

ID=50232464

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013187057A Active JP6310217B2 (ja) 2012-09-12 2013-09-10 Tsv構造を備える集積回路素子及びその製造方法

Country Status (4)

Country Link
US (1) US9337125B2 (ja)
JP (1) JP6310217B2 (ja)
KR (1) KR101932660B1 (ja)
CN (1) CN103681573B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9466533B2 (en) 2014-08-25 2016-10-11 International Business Machines Corporation Semiconductor structure including a through electrode, and method for forming the same
JP2019507960A (ja) * 2016-03-07 2019-03-22 マイクロン テクノロジー,インク. 低静電容量の基板貫通ビア構造体
US10756133B2 (en) 2017-08-17 2020-08-25 Canon Kabushiki Kaisha Semiconductor device and method of manufacturing semiconductor device
JP2021515389A (ja) * 2018-02-22 2021-06-17 ザイリンクス インコーポレイテッドXilinx Incorporated 抵抗低減型アクティブオンアクティブのダイ積層向けの電力分配

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101374338B1 (ko) * 2007-11-14 2014-03-14 삼성전자주식회사 관통 전극을 갖는 반도체 장치 및 그 제조방법
US8963336B2 (en) * 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
KR101985951B1 (ko) * 2012-11-26 2019-06-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9076715B2 (en) 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9412719B2 (en) 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
KR102177702B1 (ko) * 2014-02-03 2020-11-11 삼성전자주식회사 비아 플러그를 갖는 비아 구조체 및 반도체 소자
US9425150B2 (en) 2014-02-13 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-via interconnect structure and method of manufacture
KR102105702B1 (ko) * 2014-04-04 2020-04-29 삼성전자주식회사 자기 기억 소자
US20150325531A1 (en) * 2014-05-09 2015-11-12 International Business Machines Corporation Through crack stop via
US9543257B2 (en) * 2014-05-29 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
CN107078055A (zh) 2014-09-03 2017-08-18 国立大学法人东北大学 半导体装置及其制造方法
KR102315276B1 (ko) * 2014-10-06 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10155660B2 (en) * 2015-01-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for protecting FEOL element and BEOL element
US9373605B1 (en) * 2015-07-16 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. DIE packages and methods of manufacture thereof
KR102444235B1 (ko) 2015-08-13 2022-09-16 삼성전자주식회사 자기 쉴딩층을 구비한 mram 소자와 반도체 패키지, 및 그들의 제조방법
US9881884B2 (en) 2015-08-14 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR102414038B1 (ko) * 2015-09-16 2022-06-30 에스케이하이닉스 주식회사 수직 전송 게이트를 갖는 이미지 센서 및 그 제조방법
KR20170066843A (ko) * 2015-12-07 2017-06-15 삼성전자주식회사 적층형 반도체 장치 및 적층형 반도체 장치의 제조 방법
CN105655379B (zh) * 2016-01-12 2019-03-22 上海天马微电子有限公司 阵列基板及其制作方法
US9748106B2 (en) * 2016-01-21 2017-08-29 Micron Technology, Inc. Method for fabricating semiconductor package
US10068859B1 (en) 2017-07-28 2018-09-04 Globalfoundries Inc. Crack trapping in semiconductor device structures
EP3460835B1 (en) * 2017-09-20 2020-04-01 ams AG Method for manufacturing a semiconductor device and semiconductor device
US11257745B2 (en) * 2017-09-29 2022-02-22 Intel Corporation Electroless metal-defined thin pad first level interconnects for lithographically defined vias
DE102018112866B4 (de) * 2018-05-29 2020-07-02 Infineon Technologies Ag Halbleitervorrichtung mit elektrischem Widerstand
KR102493464B1 (ko) 2018-07-19 2023-01-30 삼성전자 주식회사 집적회로 장치 및 이의 제조 방법
KR102576062B1 (ko) * 2018-11-07 2023-09-07 삼성전자주식회사 관통 실리콘 비아를 포함하는 반도체 소자 및 그 제조 방법
CN111816605A (zh) * 2019-04-10 2020-10-23 联华电子股份有限公司 半导体元件及其制作方法
US11031348B2 (en) * 2019-07-24 2021-06-08 Nanya Technology Corporation Semiconductor structure
CN110676213B (zh) * 2019-09-18 2021-12-14 天津大学 一种针对小线宽要求的硅通孔互连铜线阻挡层优化方法
US11482506B2 (en) * 2020-03-31 2022-10-25 Taiwan Semiconductor Manufacturing Company Limited Edge-trimming methods for wafer bonding and dicing
US11495559B2 (en) * 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
KR20220013738A (ko) 2020-07-27 2022-02-04 삼성전자주식회사 이미지 센서
US11515204B2 (en) 2020-12-29 2022-11-29 Micron Technology, Inc. Methods for forming conductive vias, and associated devices and systems
US11574842B2 (en) 2021-04-14 2023-02-07 Micron Technology, Inc. Methods for forming conductive vias, and associated devices and systems
CN113035777B (zh) * 2021-04-28 2023-04-28 上海华虹宏力半导体制造有限公司 一种tsv孔的cvd填充方法
CN115312449A (zh) * 2021-05-08 2022-11-08 长鑫存储技术有限公司 半导体结构及其制备方法
CN114937650B (zh) * 2022-07-01 2023-10-13 今上半导体(信阳)有限公司 一种半导体小型化封装结构及其制备方法
CN115050654B (zh) * 2022-08-17 2022-11-08 甬矽电子(宁波)股份有限公司 扇入型封装结构的制备方法和扇入型封装结构

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0594993A (ja) * 1991-10-02 1993-04-16 Mitsubishi Electric Corp 半導体素子
JP2005026405A (ja) * 2003-07-01 2005-01-27 Sharp Corp 貫通電極構造およびその製造方法、半導体チップならびにマルチチップ半導体装置
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
JP2008085126A (ja) * 2006-09-28 2008-04-10 Hitachi Ltd 半導体装置及びその製造方法
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
JP2009147218A (ja) * 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
JP2010016377A (ja) * 2008-06-30 2010-01-21 Intel Corp マルチダイ集積回路デバイスおよび方法
JP2011176003A (ja) * 2010-02-23 2011-09-08 Panasonic Corp 半導体装置及びその製造方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
JP3990347B2 (ja) 2003-12-04 2007-10-10 ローム株式会社 半導体チップおよびその製造方法、ならびに半導体装置
KR101048002B1 (ko) 2003-12-26 2011-07-13 매그나칩 반도체 유한회사 반도체 소자의 장벽 금속층 형성방법
US7316063B2 (en) * 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
JP2008283140A (ja) 2007-05-14 2008-11-20 Shinko Electric Ind Co Ltd 配線基板の製造方法及び配線基板
CN101345208B (zh) * 2008-08-19 2014-07-16 上海集成电路研发中心有限公司 一种应用于铜互连扩散阻挡层的制作方法
JP5330863B2 (ja) 2009-03-04 2013-10-30 パナソニック株式会社 半導体装置の製造方法
KR101062848B1 (ko) 2009-06-01 2011-09-07 한국과학기술원 관통실리콘비아를 갖는 반도체칩에서 크로스토크 차폐를 위한 쉴딩구조
US8432038B2 (en) * 2009-06-12 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure and a process for forming the same
KR20110050957A (ko) 2009-11-09 2011-05-17 삼성전자주식회사 반도체 소자의 관통 비아 콘택 및 그 형성 방법
US8399987B2 (en) * 2009-12-04 2013-03-19 Samsung Electronics Co., Ltd. Microelectronic devices including conductive vias, conductive caps and variable thickness insulating layers
KR101128892B1 (ko) 2010-05-14 2012-03-27 주식회사 하이닉스반도체 반도체 장치 및 그 제조 방법
KR101095055B1 (ko) 2010-06-10 2011-12-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20120000748A (ko) 2010-06-28 2012-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102010030760B4 (de) 2010-06-30 2014-07-24 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit Durchgangskontaktierungen mit einem Verspannungsrelaxationsmechanismus und Verfahren zur Herstellung eines solchen
KR20120030782A (ko) 2010-09-20 2012-03-29 삼성전자주식회사 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법
KR20120031811A (ko) 2010-09-27 2012-04-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8207595B2 (en) * 2010-10-05 2012-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor having a high aspect ratio via
KR101732975B1 (ko) * 2010-12-03 2017-05-08 삼성전자주식회사 반도체 장치의 제조 방법
US8866250B2 (en) * 2012-09-05 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal film stack in BSI chips

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0594993A (ja) * 1991-10-02 1993-04-16 Mitsubishi Electric Corp 半導体素子
JP2005026405A (ja) * 2003-07-01 2005-01-27 Sharp Corp 貫通電極構造およびその製造方法、半導体チップならびにマルチチップ半導体装置
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
JP2008085126A (ja) * 2006-09-28 2008-04-10 Hitachi Ltd 半導体装置及びその製造方法
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
JP2009147218A (ja) * 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
JP2010016377A (ja) * 2008-06-30 2010-01-21 Intel Corp マルチダイ集積回路デバイスおよび方法
JP2011176003A (ja) * 2010-02-23 2011-09-08 Panasonic Corp 半導体装置及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9466533B2 (en) 2014-08-25 2016-10-11 International Business Machines Corporation Semiconductor structure including a through electrode, and method for forming the same
JP2019507960A (ja) * 2016-03-07 2019-03-22 マイクロン テクノロジー,インク. 低静電容量の基板貫通ビア構造体
US10756133B2 (en) 2017-08-17 2020-08-25 Canon Kabushiki Kaisha Semiconductor device and method of manufacturing semiconductor device
JP2021515389A (ja) * 2018-02-22 2021-06-17 ザイリンクス インコーポレイテッドXilinx Incorporated 抵抗低減型アクティブオンアクティブのダイ積層向けの電力分配
US11670585B1 (en) 2018-02-22 2023-06-06 Xilinx, Inc. Power distribution for active-on-active die stack with reduced resistance

Also Published As

Publication number Publication date
KR101932660B1 (ko) 2018-12-26
KR20140034602A (ko) 2014-03-20
CN103681573A (zh) 2014-03-26
CN103681573B (zh) 2018-06-08
US9337125B2 (en) 2016-05-10
JP6310217B2 (ja) 2018-04-11
US20140070426A1 (en) 2014-03-13

Similar Documents

Publication Publication Date Title
JP6310217B2 (ja) Tsv構造を備える集積回路素子及びその製造方法
US9691684B2 (en) Integrated circuit device including through-silicon via structure and decoupling capacitor and method of manufacturing the same
US10157895B2 (en) Seal-ring structure for stacking integrated circuits
KR102151177B1 (ko) Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US8884440B2 (en) Integrated circuit device including through-silicon via structure having offset interface
US9214374B2 (en) Semiconductor devices including stress relief structures
US9437491B2 (en) Method of forming chip with through silicon via electrode
KR102165267B1 (ko) Tsv 구조를 포함하는 집적회로 소자 및 그 제조 방법
US8916471B1 (en) Method for forming semiconductor structure having through silicon via for signal and shielding structure
CN103456601B (zh) 用于中介片的电容器及其制造方法
KR101918609B1 (ko) 집적회로 소자
KR102142366B1 (ko) 반도체 집적 회로 소자 및 그 제조 방법, 반도체 패키지
CN110060982B (zh) 用于中介片的电容器及其制造方法
TWI553802B (zh) 矽中介板結構、封裝體結構以及矽中介板結構的製造方法
CN107393841A (zh) 半导体器件及其制造方法
CN116114396A (zh) 前道工艺互连结构以及相关联的系统和方法
KR20140038195A (ko) Tsv구조 형성 방법
KR20120078686A (ko) 반도체 패키지 및 이의 제조 방법
TW201442170A (zh) 半導體裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160819

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170928

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180306

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180316

R150 Certificate of patent or registration of utility model

Ref document number: 6310217

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250